OpenCores
URL https://opencores.org/ocsvn/iqcorrection/iqcorrection/trunk

Subversion Repositories iqcorrection

[/] [iqcorrection/] [trunk/] [IQGainPhaseCorrection_Configuration.vhd] - Blame information for rev 33

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 33 Abraxas3d
--Configuration allows you to select
2
--the correct architecture to use.
3
 
4
 
5
 
6
 
7
configuration IntegerConfiguration of IQGainPhaseCorrection_entity is
8
        for IQGainPhaseCorrection_arch_integer --architecture name
9
                for DUT:IQGainPhaseCorrection      --for instance_label:component_name
10
                        --use entity library_name.entity_name(arch_name);
11
                        use entity IQCorrection.IQGainPhaseCorrection_entity(IQGainPhaseCorrection_arch_integer);
12
                end for;
13
        end for;
14
end configuration IntegerConfiguration;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.