OpenCores
URL https://opencores.org/ocsvn/iqcorrection/iqcorrection/trunk

Subversion Repositories iqcorrection

[/] [iqcorrection/] [trunk/] [IQGainPhaseCorrection_entity.vhd] - Blame information for rev 38

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 38 Abraxas3d
library ieee;
2
use ieee.std_logic_1164.all;
3
use ieee.numeric_std.all;
4
 
5
 
6
 
7
entity IQGainPhaseCorrection is
8
 
9
generic(width:natural);
10
 
11
port(
12
        clk                             :in std_logic;
13
        x1                              :in signed(width-1 downto 0);
14
        y1                              :in signed(width-1 downto 0);
15
        gain_error              :out signed(width-1 downto 0);
16
        gain_lock               :out bit;
17
        phase_error             :out signed(width-1 downto 0);
18
        phase_lock              :out bit;
19
        corrected_x1    :out signed(width-1 downto 0);
20
        corrected_y1    :out signed(width-1 downto 0)
21
        );
22
 
23
end IQGainPhaseCorrection;
24
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.