OpenCores
URL https://opencores.org/ocsvn/iso7816_3_master/iso7816_3_master/trunk

Subversion Repositories iso7816_3_master

[/] [iso7816_3_master/] [trunk/] [test/] [ComTxDriverTasks.v] - Blame information for rev 11

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 11 acapola
/*
2
Author: Sebastien Riou (acapola)
3
Creation date: 17:16:40 01/09/2011
4
 
5
$LastChangedDate: 2011-01-29 13:16:17 +0100 (Sat, 29 Jan 2011) $
6
$LastChangedBy: acapola $
7
$LastChangedRevision: 11 $
8
$HeadURL: file:///svn/iso7816_3_master/iso7816_3_master/trunk/test/ComTxDriverTasks.v $
9
 
10
This file is under the BSD licence:
11
Copyright (c) 2011, Sebastien Riou
12
 
13
All rights reserved.
14
 
15
Redistribution and use in source and binary forms, with or without modification, are permitted provided that the following conditions are met:
16
 
17
Redistributions of source code must retain the above copyright notice, this list of conditions and the following disclaimer.
18
Redistributions in binary form must reproduce the above copyright notice, this list of conditions and the following disclaimer in the documentation and/or other materials provided with the distribution.
19
The names of contributors may not be used to endorse or promote products derived from this software without specific prior written permission.
20
THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
21
"AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
22
LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR
23
A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR
24
CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
25
EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
26
PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR
27
PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF
28
LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING
29
NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
30
SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
31
*/
32
`default_nettype none
33 9 acapola
`include "HexStringConversion.v"
34 5 acapola
 
35 9 acapola
//low level tasks
36 5 acapola
task sendByte;
37
  input [7:0] data;
38
  begin
39
      wait(bufferFull==1'b0);
40
      dataIn=data;
41
      nWeDataIn=0;
42
      @(posedge COM_clk);
43
      dataIn=8'hxx;
44
      nWeDataIn=1;
45
      @(posedge COM_clk);
46
        end
47
endtask
48
task sendWord;
49
  input [15:0] data;
50
  begin
51
      sendByte(data[15:8]);
52
                sendByte(data[7:0]);
53
        end
54
endtask
55
task waitEndOfTx;
56
  begin
57
      @(posedge COM_clk)
58
                wait(txPending==0);
59
                wait(isTx==0);
60
        end
61
endtask
62
 
63 9 acapola
 
64
//Higher level tasks
65
task sendHexBytes;
66
        input [16*257:0] bytesString;
67
        integer i;
68
        reg [15:0] byteInHex;
69
        reg [7:0] byteToSend;
70
begin
71
        for(i=16*256;i>=0;i=i-16) begin
72
                byteInHex=bytesString[i+:16];
73
                if(16'h0!=byteInHex) begin
74
                        byteToSend=hexString2Byte(byteInHex);
75
                        sendByte(byteToSend);
76
                end
77
        end
78
end
79
endtask
80
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.