OpenCores
URL https://opencores.org/ocsvn/iso7816_3_master/iso7816_3_master/trunk

Subversion Repositories iso7816_3_master

[/] [iso7816_3_master/] [trunk/] [test/] [tb_BasicHalfDuplexUart.v] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 acapola
`timescale 1ns / 1ps
2
 
3
////////////////////////////////////////////////////////////////////////////////
4
// Company: 
5
// Engineer:
6
//
7
// Create Date:   19:45:19 10/31/2010
8
// Design Name:   BasicHalfDuplexUart
9
// Module Name:   /home/seb/dev/hardware/Uart/tb_BasicHalfDuplexUart.v
10
// Project Name:  Uart
11
// Target Device:  
12
// Tool versions:  
13
// Description: 
14
//
15
// Verilog Test Fixture created by ISE for module: BasicHalfDuplexUart
16
//
17
// Dependencies:
18
// 
19
// Revision:
20
// Revision 0.01 - File Created
21
// Additional Comments:
22
// 
23
////////////////////////////////////////////////////////////////////////////////
24
 
25
module tb_BasicHalfDuplexUart;
26
 
27
        // Inputs
28
        reg [7:0] txData;
29
        reg [12:0] clocksPerBit;
30
        reg stopBit2;
31
        reg startTx;
32
        reg ackFlags;
33
        reg clk;
34
        reg nReset;
35
 
36
        // Outputs
37
        wire [7:0] rxData;
38
        wire overrunErrorFlag;
39
        wire dataOutReadyFlag;
40
        wire frameErrorFlag;
41
        wire run;
42
        wire rxStartBit;
43
        wire txFull;
44
        wire isTx;
45
 
46
        // Bidirs
47
        wire serialLine;
48
 
49
        // Instantiate the Unit Under Test (UUT)
50
        BasicHalfDuplexUart uut (
51
                .rxData(rxData),
52
                .overrunErrorFlag(overrunErrorFlag),
53
                .dataOutReadyFlag(dataOutReadyFlag),
54
                .frameErrorFlag(frameErrorFlag),
55
                .run(run),
56
                .rxStartBit(rxStartBit),
57
                .txFull(txFull),
58
                .isTx(isTx),
59
                .serialLine(serialLine),
60
                .txData(txData),
61
                .clocksPerBit(clocksPerBit),
62
                .stopBit2(stopBit2),
63
                .startTx(startTx),
64
                .ackFlags(ackFlags),
65
                .clk(clk),
66
                .nReset(nReset)
67
        );
68
 
69
        initial begin
70
                // Initialize Inputs
71
                txData = 0;
72
                clocksPerBit = 0;
73
                stopBit2 = 0;
74
                startTx = 0;
75
                ackFlags = 0;
76
                clk = 0;
77
                reset = 0;
78
 
79
                // Wait 100 ns for global reset to finish
80
                #100;
81
 
82
                // Add stimulus here
83
 
84
        end
85
 
86
endmodule
87
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.