OpenCores
URL https://opencores.org/ocsvn/jart/jart/trunk

Subversion Repositories jart

[/] [jart/] [branches/] [ver0branch/] [floor1Row.vhd] - Blame information for rev 48

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 30 jguarin200
-- Author : Julian Andres Guarin Reyes.
2
-- Project : JART, Just Another Ray Tracer.
3
-- email : jguarin2002 at gmail.com, j.guarin at javeriana.edu.co
4
 
5
-- This code was entirely written by Julian Andres Guarin Reyes.
6
-- The following code is licensed under GNU Public License
7
-- http://www.gnu.org/licenses/gpl-3.0.txt.
8
 
9
 -- This file is part of JART (Just Another Ray Tracer).
10
 
11
    -- JART (Just Another Ray Tracer) is free software: you can redistribute it and/or modify
12
    -- it under the terms of the GNU General Public License as published by
13
    -- the Free Software Foundation, either version 3 of the License, or
14
    -- (at your option) any later version.
15
 
16
    -- JART (Just Another Ray Tracer) is distributed in the hope that it will be useful,
17
    -- but WITHOUT ANY WARRANTY; without even the implied warranty of
18
    -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
19
    -- GNU General Public License for more details.
20
 
21
    -- You should have received a copy of the GNU General Public License
22
    -- along with JART (Just Another Ray Tracer).  If not, see <http://www.gnu.org/licenses/>.
23
 
24
 
25
-- This file is an instantiation of a k comparison cells row. The number of dot cells used is parameterizable.
26
library ieee;
27
use ieee.std_logic_1164.all;
28
use work.powerGrid.all;
29
 
30
 
31
entity floor1Row is
32
        generic (
33
                        viw : integer := 32;    -- Vector input Width
34
                        col     : integer := 4;         -- Number of Colums
35
        );
36
        port (
37 32 jguarin200
                        -- Input Control Signals, pipe on is one when raysr going on. 
38 30 jguarin200
                        clk, rst        : in std_logic;
39
                        pipeOn          : in std_logic;
40
 
41
                        -- Clk, Rst, the usual control signals.
42
                        nxtSphere       : in std_logic_vector (col-1 downto 0);
43
 
44
                        -- VD Input / Output.
45
                        vdInput : in std_logic_vector (viw*col-1 downto 0);
46
                        vdOutput: out std_logic_vector (viw*col-1 downto 0);
47
 
48
                        -- K Input / Output.
49
                        kInput  : in std_logic_vector (viw*col - 1 downto 0); -- The dot product emerging from each dot prod cell. 
50
                        kOutput : out std_logic_vector (viw*col - 1 downto 0) -- The dot product emerging from each dot prod cell. 
51
        );
52
end entity;
53
 
54
 
55
architecture rtl of floor1Row is
56
begin
57
 
58
        theCells : for i in 0 to col-1 generate
59
 
60
                kComparisonCellx : kComparisonCell port map (
61
 
62
                        clk                     => clk,
63
                        rst                     => rst,
64
                        nxtSphere       => nxtSphere,
65
                        pipeOn          => pipeOn,
66 32 jguarin200
                        kinput          => kInput       ((i+1)*viw-1 downto i*viw),
67
                        koutput         => kOutput      ((i+1)*viw-1 downto i*viw),
68
                        vdinput         => vdInput      ((i+1)*viw-1 downto i*viw),
69
                        vdoutput        => vdOutput     ((i+1)*viw-1 downto i*viw)
70 30 jguarin200
                        );
71
 
72
        end generate;
73
 
74
 
75
 
76
end rtl;
77
 
78
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.