OpenCores
URL https://opencores.org/ocsvn/jart/jart/trunk

Subversion Repositories jart

[/] [jart/] [branches/] [ver0branch/] [r8.vhd] - Blame information for rev 65

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 65 jguarin200
library ieee;
2
use ieee.std_logic_1164.all;
3
use work.powerGrid.all;
4
 
5
entity r8 is
6
        port (
7
 
8
 
9
                clk, ena: in std_logic; -- The usual control signals.
10
 
11
                wen             : in std_logic_vector   (3 downto 0);
12
                add             : in std_logic_vector   (11 downto 0);
13
                datain  : in std_logic_vector   (BUSW-1 downto 0);-- incoming data from 32 bits width bus.
14
                Vx              : out std_logic_vector  (HBUSW-1 downto 0); -- outcoming data to 54 bit width bus multiplexer selector and intersection test cube.
15
                Vy              : out std_logic_vector  (HBUSW-1 downto 0); -- outcoming data to 54 bit width bus multiplexer selector and intersection test cube.
16
                Vz              : out std_logic_vector  (HBUSW-1 downto 0); -- outcoming data to 54 bit width bus multiplexer selector and intersection test cube.
17
                K               : out std_logic_vector  (BUSW-1 downto 0)
18
        );
19
 
20
end entity;
21
 
22
 
23
 
24
architecture rtl of r8 is
25
 
26
begin
27
 
28
        -- K Register
29
        bt81_inst : bt81
30
                port map (
31
                        address => add,
32
                        clken   => ena,
33
                        clock   => clk,
34
                        data    => datain,
35
                        wren    => wen(0),
36
                        q               => K
37
                );
38
        --      Vx, Vy, VZ registers
39
        bt84x : bt84
40
                port map (
41
                        address => add,
42
                        clken   => ena,
43
                        clock   => clk,
44
                        data    => datain,
45
                        wren    => wen(3),
46
                        q               => Vx
47
                );
48
        bt84y : bt84
49
                port map (
50
                        address => add,
51
                        clken   => ena,
52
                        clock   => clk,
53
                        data    => datain,
54
                        wren    => wen(2),
55
                        q               => Vy
56
                );
57
        bt84z : bt84
58
                port map (
59
                        address => add,
60
                        clken   => ena,
61
                        clock   => clk,
62
                        data    => datain,
63
                        wren    => wen(1),
64
                        q               => Vz
65
                );
66
 
67
 
68
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.