OpenCores
URL https://opencores.org/ocsvn/jart/jart/trunk

Subversion Repositories jart

[/] [jart/] [branches/] [ver0branch/] [rop1.vhd] - Blame information for rev 85

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 65 jguarin200
library ieee;
2
use ieee.std_logic_1164.all;
3
use work.powerGrid.all;
4
 
5
entity rop1 is
6
        generic (
7
                SZMODE  : integer       := SZBETA       -- By default use the 50% of the max memory for sphere register block.
8
        );
9
        port (
10
 
11
 
12
                clk, ena: in std_logic; -- The usual control signals.
13
                wen             : in std_logic_vector   (3 downto 0);
14
                add             : in std_logic_vector   (REGSZADD(OP1)-SZMODE downto 0);
15
                datain  : in std_logic_vector   (BUSW-1 downto 0);-- incoming data from 32 bits width bus.
16
                Vx              : out std_logic_vector  (HBUSW-1 downto 0); -- outcoming data to 54 bit width bus multiplexer selector and intersection test cube.
17
                Vy              : out std_logic_vector  (HBUSW-1 downto 0); -- outcoming data to 54 bit width bus multiplexer selector and intersection test cube.
18
                Vz              : out std_logic_vector  (HBUSW-1 downto 0); -- outcoming data to 54 bit width bus multiplexer selector and intersection test cube.
19
                K               : out std_logic_vector  (BUSW-1 downto 0)
20
        );
21
 
22
end entity;
23
 
24
 
25
architecture rtl of rop1 is
26
 
27
begin
28
 
29
        if SZMODE = SZALFA generate
30
 
31
                r8_inst : r8
32
                port map (
33
                        clk             => clk,
34
                        ena             => ena,
35
                        wen             => wen,
36
                        add             => add,
37
                        datain  => datain,
38
                        Vx              => Vx,
39
                        Vy              => Vy,
40
                        Vz              => Vz,
41
                        K               => K
42
                );
43
        end generate
44
        if SZMODE = SZBETA generate
45
                r4_inst : r4
46
                port map (
47
                        clk             => clk,
48
                        ena             => ena,
49
                        wen             => wen,
50
                        add             => add,
51
                        datain  => datain,
52
                        Vx              => Vx,
53
                        Vy              => Vy,
54
                        Vz              => Vz,
55
                        K               => K
56
                );
57
        end generate
58
 
59
 
60
 
61
 
62
 
63
end rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.