OpenCores
URL https://opencores.org/ocsvn/jart/jart/trunk

Subversion Repositories jart

[/] [jart/] [branches/] [ver0branch/] [rop2.vhd] - Blame information for rev 85

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 65 jguarin200
library ieee;
2
use ieee.std_logic_1164.all;
3
use work.powerGrid.all;
4
 
5
entity rop2 is
6
        generic (
7
                SZMODE  : integer       := SZBETA       -- By default use the 50% of the max memory for sphere register block.
8
        );
9
        port (
10
 
11
 
12
                clk, ena: in std_logic; -- The usual control signals.
13
                wen             : in std_logic_vector   (7 downto 0);
14
                add             : in std_logic_vector   (REGSZADD(OP2)-SZMODE downto 0);
15
                datain  : in std_logic_vector   (BUSW-1 downto 0);-- incoming data from 32 bits width bus.
16
                Vx              : out std_logic_vector  (2*HBUSW-1 downto 0); -- outcoming data to 54 bit width bus multiplexer selector and intersection test cube.
17
                Vy              : out std_logic_vector  (2*HBUSW-1 downto 0); -- outcoming data to 54 bit width bus multiplexer selector and intersection test cube.
18
                Vz              : out std_logic_vector  (2*HBUSW-1 downto 0); -- outcoming data to 54 bit width bus multiplexer selector and intersection test cube.
19
                K               : out std_logic_vector  (2*BUSW-1 downto 0)
20
        );
21
 
22
end entity;
23
 
24
 
25
architecture rtl of rop1 is
26
 
27
begin
28
 
29
        if SZMODE = SZALFA generate
30
 
31
                for i in generate 0 to 1 generate
32
                        r4_inst : r4
33
                        port map (
34
                                clk             => clk,
35
                                ena             => ena,
36
                                wen             => wen((i+1)*4-1 downto i*4),
37
                                add             => add,
38
                                datain  => datain,
39
                                Vx              => Vx((i+1)*HBUSW-1 downto i*HBUSW),
40
                                Vy              => Vy((i+1)*HBUSW-1 downto i*HBUSW),
41
                                Vz              => Vz((i+1)*HBUSW-1 downto i*HBUSW),
42
                                K               => K((i+1)*HBUSW-1 downto i*HBUSW)
43
                        );
44
                end generate
45
 
46
        end generate;
47
 
48
        if SZMODE = SZBETA generate
49
                for i in generate 0 to 1 generate
50
                        r2_inst : r2
51
                        port map (
52
                                clk             => clk,
53
                                ena             => ena,
54
                                wen             => wen((i+1)*4-1 downto i*4),
55
                                add             => add,
56
                                datain  => datain,
57
                                Vx              => Vx((i+1)*HBUSW-1 downto i*HBUSW),
58
                                Vy              => Vy((i+1)*HBUSW-1 downto i*HBUSW),
59
                                Vz              => Vz((i+1)*HBUSW-1 downto i*HBUSW),
60
                                K               => K((i+1)*HBUSW-1 downto i*HBUSW)
61
                        );
62
                end generate
63
        end generate
64
 
65
 
66
 
67
 
68
 
69
end rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.