OpenCores
URL https://opencores.org/ocsvn/jart/jart/trunk

Subversion Repositories jart

[/] [jart/] [branches/] [ver0branch/] [rop4.vhd] - Blame information for rev 85

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 65 jguarin200
library ieee;
2
use ieee.std_logic_1164.all;
3
use work.powerGrid.all;
4
 
5
entity rop4 is
6
        generic (
7
                SZMODE  : integer       := SZBETA       -- By default use the 50% of the max memory for sphere register block.
8
        );
9
        port (
10
 
11
 
12
                clk, ena: in std_logic; -- The usual control signals.
13
 
14
                wen             : in std_logic_vector   (15 downto 0);
15
                add             : in std_logic_vector   (REGSZADD(OP4)-SZMODE downto 0);
16
                datain  : in std_logic_vector   (BUSW-1 downto 0);-- incoming data from 32 bits width bus.
17
                Vx              : out std_logic_vector  (4*HBUSW-1 downto 0); -- outcoming data to 54 bit width bus multiplexer selector and intersection test cube.
18
                Vy              : out std_logic_vector  (4*HBUSW-1 downto 0); -- outcoming data to 54 bit width bus multiplexer selector and intersection test cube.
19
                Vz              : out std_logic_vector  (4*HBUSW-1 downto 0); -- outcoming data to 54 bit width bus multiplexer selector and intersection test cube.
20
                K               : out std_logic_vector  (4*BUSW-1 downto 0)
21
        );
22
 
23
end entity;
24
 
25
 
26
architecture rtl of rop1 is
27
 
28
begin
29
 
30
        if SZMODE = SZALFA generate
31
 
32
                for i in generate 0 to 3 generate
33
                        r2_inst : r2
34
                        port map (
35
                                clk             => clk,
36
                                ena             => ena,
37
                                wen             => wen((i+1)*4-1 downto i*4),
38
                                add             => add,
39
                                datain  => datain,
40
                                Vx              => Vx((i+1)*HBUSW-1 downto i*HBUSW),
41
                                Vy              => Vy((i+1)*HBUSW-1 downto i*HBUSW),
42
                                Vz              => Vz((i+1)*HBUSW-1 downto i*HBUSW),
43
                                K               => K((i+1)*HBUSW-1 downto i*HBUSW)
44
                        );
45
                end generate
46
 
47
        end generate;
48
 
49
        if SZMODE = SZBETA generate
50
                for i in generate 0 to 3 generate
51
                        r1_inst : r1
52
                        port map (
53
                                clk             => clk,
54
                                ena             => ena,
55
                                wen             => wen((i+1)*4-1 downto i*4),
56
                                add             => add,
57
                                datain  => datain,
58
                                Vx              => Vx((i+1)*HBUSW-1 downto i*HBUSW),
59
                                Vy              => Vy((i+1)*HBUSW-1 downto i*HBUSW),
60
                                Vz              => Vz((i+1)*HBUSW-1 downto i*HBUSW),
61
                                K               => K((i+1)*HBUSW-1 downto i*HBUSW)
62
                        );
63
                end generate
64
        end generate
65
 
66
 
67
 
68
 
69
 
70
end rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.