OpenCores
URL https://opencores.org/ocsvn/jart/jart/trunk

Subversion Repositories jart

[/] [jart/] [trunk/] [BL01/] [block01.vhd] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 jguarin200
-- Author : Julian Andres Guarin Reyes.
2
-- Project : JART, Just Another Ray Tracer.
3 5 jguarin200
-- email : jguarin2002 at gmail.com, j.guarin at javeriana.edu.co
4 3 jguarin200
 
5
-- This code was entirely written by Julian Andres Guarin Reyes.
6
-- The following code is licensed under GNU Public License
7
-- http://www.gnu.org/licenses/gpl-3.0.txt.
8
 
9
 -- This file is part of JART (Just Another Ray Tracer).
10
 
11
    -- JART (Just Another Ray Tracer) is free software: you can redistribute it and/or modify
12
    -- it under the terms of the GNU General Public License as published by
13
    -- the Free Software Foundation, either version 3 of the License, or
14
    -- (at your option) any later version.
15
 
16
    -- JART (Just Another Ray Tracer) is distributed in the hope that it will be useful,
17
    -- but WITHOUT ANY WARRANTY; without even the implied warranty of
18
    -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
19
    -- GNU General Public License for more details.
20
 
21
    -- You should have received a copy of the GNU General Public License
22
    -- along with JART (Just Another Ray Tracer).  If not, see <http://www.gnu.org/licenses/>.
23
 
24
 
25 5 jguarin200
-- The following HDL compares 2 32 bit numbers instantiating a comparator.   
26 3 jguarin200
-- vd is one operand and k the other.
27
-- i is the result 1 if vd is grater or equal than k.
28
 
29
-- The comparator instantiation is called intersection, cause this block function is to decide wheter or not a ray intersects a sphere making the vd and k, 
30
-- comparison.
31
 
32
library ieee;
33
use ieee.std_logic_1164.all;
34
 
35
 
36
 
37
entity bl01 is
38
        port (
39
                vd:     in std_logic_vector (31 downto 0);
40
                k :     in std_logic_vector (31 downto 0);
41
                i :     out std_logic
42
        );
43
 
44
 
45
end entity;
46
architecture rtl of bl01 is
47
        component compare_A15_16 is
48
        port
49
        (
50
                dataa           : in std_logic_vector(31 downto 0);
51
                datab           : in std_logic_vector(31 downto 0);
52
                AgeB            : out std_logic
53
        );
54
        end component;
55
 
56
begin
57
 
58
        intersection : compare_A15_16 port map ( dataa => vd, datab => k, AgeB => i);
59
 
60
end rtl;
61
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.