OpenCores
URL https://opencores.org/ocsvn/jart/jart/trunk

Subversion Repositories jart

[/] [jart/] [trunk/] [BL01/] [block01.vhd] - Blame information for rev 3

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 jguarin200
-- Author : Julian Andres Guarin Reyes.
2
-- Project : JART, Just Another Ray Tracer.
3
 
4
-- This code was entirely written by Julian Andres Guarin Reyes.
5
-- The following code is licensed under GNU Public License
6
-- http://www.gnu.org/licenses/gpl-3.0.txt.
7
 
8
 -- This file is part of JART (Just Another Ray Tracer).
9
 
10
    -- JART (Just Another Ray Tracer) is free software: you can redistribute it and/or modify
11
    -- it under the terms of the GNU General Public License as published by
12
    -- the Free Software Foundation, either version 3 of the License, or
13
    -- (at your option) any later version.
14
 
15
    -- JART (Just Another Ray Tracer) is distributed in the hope that it will be useful,
16
    -- but WITHOUT ANY WARRANTY; without even the implied warranty of
17
    -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
18
    -- GNU General Public License for more details.
19
 
20
    -- You should have received a copy of the GNU General Public License
21
    -- along with JART (Just Another Ray Tracer).  If not, see <http://www.gnu.org/licenses/>.
22
 
23
 
24
-- The following HDL is compares 2 32 bit numbers instantiating a comparator.   
25
-- vd is one operand and k the other.
26
-- i is the result 1 if vd is grater or equal than k.
27
 
28
-- The comparator instantiation is called intersection, cause this block function is to decide wheter or not a ray intersects a sphere making the vd and k, 
29
-- comparison.
30
 
31
library ieee;
32
use ieee.std_logic_1164.all;
33
 
34
 
35
 
36
entity bl01 is
37
        port (
38
                vd:     in std_logic_vector (31 downto 0);
39
                k :     in std_logic_vector (31 downto 0);
40
                i :     out std_logic
41
        );
42
 
43
 
44
end entity;
45
architecture rtl of bl01 is
46
        component compare_A15_16 is
47
        port
48
        (
49
                dataa           : in std_logic_vector(31 downto 0);
50
                datab           : in std_logic_vector(31 downto 0);
51
                AgeB            : out std_logic
52
        );
53
        end component;
54
 
55
begin
56
 
57
        intersection : compare_A15_16 port map ( dataa => vd, datab => k, AgeB => i);
58
 
59
end rtl;
60
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.