OpenCores
URL https://opencores.org/ocsvn/jart/jart/trunk

Subversion Repositories jart

[/] [jart/] [trunk/] [BLRT/] [accum0.vhd] - Blame information for rev 85

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 42 jguarin200
-- Author : Julian Andres Guarin Reyes.
2
-- Project : JART, Just Another Ray Tracer, CopyRight (C) Julian Andres Guarin Reyes, 2009.
3
-- email : jguarin2002 at gmail.com, j.guarin at javeriana.edu.co
4
 
5
-- This code was entirely written by Julian Andres Guarin Reyes.
6
-- The following code is licensed under GNU Public License
7
-- http://www.gnu.org/licenses/gpl-3.0.txt.
8
 
9
 -- This file is part of JART (Just Another Ray Tracer).
10
 
11
    -- JART (Just Another Ray Tracer) is free software: you can redistribute it and/or modify
12
    -- it under the terms of the GNU General Public License as published by
13
    -- the Free Software Foundation, either version 3 of the License, or
14
    -- (at your option) any later version.
15
 
16
    -- JART (Just Another Ray Tracer) is distributed in the hope that it will be useful,
17
    -- but WITHOUT ANY WARRANTY; without even the implied warranty of
18
    -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
19
    -- GNU General Public License for more details.
20
 
21
    -- You should have received a copy of the GNU General Public License
22
    -- along with JART (Just Another Ray Tracer).  If not, see <http://www.gnu.org/licenses/>.
23
 
24
-- Selectable operator adder.
25
 
26
library ieee;
27
use ieee.std_logic_1164.all;
28
use ieee.std_logic_arith.all;
29
use ieee.std_logic_unsigned.all;
30
 
31
entity accum0 is
32
        generic (WIDTH : integer range 1 to 32);
33
        port (
34
 
35
                clk             : in std_logic;
36
                rst     : in std_logic;
37
 
38
                inAccum : in std_logic_vector (WIDTH-1 downto 0);
39
                inSum0  : in std_logic_vector (WIDTH-1 downto 0);
40
                inSum1  : in std_logic_vector (WIDTH-1 downto 0);
41
 
42
                ldAccum : in std_logic;
43
                ldSum0  : in std_logic;
44
                ldSum1  : in std_logic;
45
 
46
                enable  : in std_logic;
47
                sel             : in std_logic;
48
 
49
                outAccum        : out std_logic_vector (WIDTH-1 downto 0)
50
        );
51
end accum0;
52
 
53
entity rtl of accum0 is
54
 
55
        signal sAccum : std_logic_vector (WIDTH-1 downto 0);
56
        signal sS0 : std_logic_vector (WIDTH-1 downto 0);
57
        signal sS1 : std_logic_vector (WIDTH-1 downto 0);
58
 
59
 
60
begin
61
 
62
        -- Conectar la salida del flip flop afuera
63
        outAccum <= sAccum;
64
 
65
        process (clk, rst)
66
        begin
67
 
68
                if rst='0' then
69
 
70
                        sAccum  <= (others => '0');
71
                        sS0             <= (others => '0');
72
                        sS1             <= (others => '0');
73
 
74
                elsif rising_edge(clk) then
75
 
76
                        -- Acumulator.
77
                        if ldAccum <='1' then
78
                                sAccum <= inAccum;
79
 
80
                        elsif enable <='1' then
81
                                sAccum <= sS1 + sAccum;
82
                        else
83
                                sAccum <= sS0 + sAccum;
84
                        end if;
85
 
86
                        -- Cargar el operador 0
87
                        if ldSum0 <= '1' then
88
                                sS0 <= inSum0;
89
                        end if;
90
 
91
                        -- Cargar el operador 1
92
                        if ldSum1 <= '1' then
93
                                sS1 <= inSum1;
94
                        end if;
95
 
96
 
97
 
98
                end if;
99
 
100
 
101
 
102
        end process;
103
 
104
 
105
 
106
 
107
 
108
end rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.