OpenCores
URL https://opencores.org/ocsvn/jart/jart/trunk

Subversion Repositories jart

[/] [jart/] [trunk/] [BLRT/] [p1ax.vhd] - Blame information for rev 33

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 23 jguarin200
library ieee;
2
use ieee.std_logic_1164.all;
3
use ieee.std_logic_signed.all;
4
 
5
entity p1ax is
6
        generic (       W       : integer := 36 );
7
 
8
        port    (
9
                                clk,rst,enable          : in std_logic; -- The usual control signals.
10
                                dataa,datab,datac       : in std_logic_vector (W-1 downto 0);
11
                                result                          : out std_logic_vector (W-1 downto 0)
12
        );
13
 
14
end entity;
15
 
16
architecture rtl of p1ax is
17
 
18
        signal sdresult : std_logic_vector (W-1 downto 0);
19
 
20
 
21
begin
22
 
23
        sdresult <= dataa+datab+datac;
24
 
25
        process (clk,rst,enable)
26
        begin
27
 
28
                if rst = '0' then
29
 
30
                        result <= (others =>'0');
31
 
32
                elsif rising_edge(clk) and enable ='1' then
33
 
34
                        result <= sdresult;
35
 
36
                end if;
37
 
38
        end process;
39
 
40
 
41
 
42
 
43
end rtl;
44
 
45
 
46
 
47
-
48
 
49
 
50
 
51
 
52
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.