OpenCores
URL https://opencores.org/ocsvn/jart/jart/trunk

Subversion Repositories jart

[/] [jart/] [trunk/] [BLRT/] [powerGrid.vhd] - Blame information for rev 24

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 16 jguarin200
-- Author : Julian Andres Guarin Reyes.
2
-- Project : JART, Just Another Ray Tracer.
3
-- email : jguarin2002 at gmail.com, j.guarin at javeriana.edu.co
4
 
5
-- This code was entirely written by Julian Andres Guarin Reyes.
6
-- The following code is licensed under GNU Public License
7
-- http://www.gnu.org/licenses/gpl-3.0.txt.
8
 
9
 -- This file is part of JART (Just Another Ray Tracer).
10
 
11
    -- JART (Just Another Ray Tracer) is free software: you can redistribute it and/or modify
12
    -- it under the terms of the GNU General Public License as published by
13
    -- the Free Software Foundation, either version 3 of the License, or
14
    -- (at your option) any later version.
15
 
16
    -- JART (Just Another Ray Tracer) is distributed in the hope that it will be useful,
17
    -- but WITHOUT ANY WARRANTY; without even the implied warranty of
18
    -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
19
    -- GNU General Public License for more details.
20
 
21
    -- You should have received a copy of the GNU General Public License
22
    -- along with JART (Just Another Ray Tracer).  If not, see <http://www.gnu.org/licenses/>.
23
 
24
-- 16X50M Intersection Tests    
25
 
26
library ieee;
27
use ieee.std_logic_1164.all;
28
 
29
package powerGrid is
30
 
31 22 jguarin200
        --A one stage pipe a+b+c with w width bits in input as well as output.
32
        component p1ax
33
                generic (       W               : integer := 36 );
34
                port    (       clk             :        in std_logic;
35
                                        rst             :        in std_logic;
36
                                        enable          :        in std_logic;
37
                                        dataa           :        in std_logic_vector(W-1 downto 0);
38
                                        datab           :        in std_logic_vector(W-1 downto 0);
39
                                        datac           :        in std_logic_vector(W-1 downto 0);
40
                                        result          :        out std_logic_vector(W-1 downto 0)
41
                );
42
        end component;
43
 
44
        -- A 1 stage pipe 18x18 multiplier. On Cycle III devices is a M-R (Multiplier, Register). (Should be generated using a synthesis tool....).
45
        component p1m18
46
                port    (
47
                                aclr            : in std_logic ;
48
                                clken           : in std_logic ;
49
                                clock           : in std_logic ;
50
                                dataa           : in std_logic_vector (17 downto 0);
51
                                datab           : in std_logic_vector (17 downto 0);
52
                                result          : out std_logic_vector (35 downto 0)
53
                );
54
        end component;
55
 
56 16 jguarin200
        -- Signed "less than"
57
        component sl32
58
                port    (
59
                                        dataa   : in std_logic_vector (31 downto 0);
60
                                        datab   : in std_logic_vector (31 downto 0);
61
                                        AlB             : out std_logic
62
                );
63
                end component;
64
 
65
        -- Signed "greater than"
66
        component sge32
67
                port    (
68
                                        dataa   : in std_logic_vector (31 downto 0);
69
                                        datab   : in std_logic_vector (31 downto 0);
70
                                        AgeB    : out std_logic
71
                );
72
                end component;
73
 
74
        -- Minimun distance Comparison
75
        component dComparisonCell
76
                generic (       W               : integer := 32;        -- V.D, minDistance and selectD Width 
77
                                        idColW  : integer := 2;         -- Column Sphere ID width. 1 = 2 columns max, 2= 4 colums max... and so on.
78
                                        idCol   : integer := 0           -- Column Id
79
                );
80
                port    (
81
                                clk             : in std_logic;
82
                                rst             : in std_logic;
83
 
84
                                cIdd    : in    std_logic_vector (idColW - 1 downto 0);  -- This is the reference column identification input.
85 22 jguarin200
                                cIdq    : out   std_logic_vector (idColW - 1 downto 0);  -- This is the result column identification output.
86
                                refvd   : in    std_logic_vector (W - 1 downto 0);               -- This is the reference projection incoming from the previous cell.
87
                                colvd   : in    std_logic_vector (W - 1 downto 0);               -- This is the sphere position over the ray traced vector projection.
88 16 jguarin200
                                selvd   : out   std_logic_vector (W - 1 downto 0)                -- This is the smallest value between refvd and colvd.
89 22 jguarin200
                );
90 16 jguarin200
        end component;
91
 
92 22 jguarin200
        -- Dot Product Calculation Cell. 
93
        -- A 4 side cell along with an upper side. 
94
        -- V input flows through V output using a data flipflop, so turning V output in the next cell on the next row V Input. V input also flows upwards into the dotproduct 3 stage pipeline. 
95
        -- D input flows through D output using a data flipflop, so turning D output in the next column cell. D input also flows upwards into the dotproduct 3 stage. 
96 16 jguarin200
        component dotCell
97
                generic (       levelW  : integer := 18;        -- Actual Level Width
98
                                        nLevelW : integer := 32);       -- Next Level Width
99
                port    (
100
                                clk                     : in std_logic;
101
                                rst                     : in std_logic;
102
 
103
                                -- Object control.
104
                                nxtSphere       : in std_logic; -- This bit controls when the sphere center goes to the next row.
105 22 jguarin200
                                nxtRay          : in std_logic; -- This bit controls when the ray goes to the next column.
106
 
107 16 jguarin200
                                -- First Side.
108
                                vxInput         : in std_logic_vector(levelW-1 downto 0);
109
                                vyInput         : in std_logic_vector(levelW-1 downto 0);
110
                                vzInput         : in std_logic_vector(levelW-1 downto 0);
111
 
112
                                -- Second Side (Opposite to the first one)
113
                                vxOutput        : out std_logic_vector(levelW-1 downto 0);
114
                                vyOutput        : out std_logic_vector(levelW-1 downto 0);
115
                                vzOutput        : out std_logic_vector(levelW-1 downto 0);
116
 
117
                                -- Third Side (Perpendicular to the first and second ones)
118
                                dxInput         : in std_logic_vector(levelW-1 downto 0);
119
                                dyInput         : in std_logic_vector(levelW-1 downto 0);
120
                                dzInput         : in std_logic_vector(levelW-1 downto 0);
121
 
122
                                --Fourth Side (Opposite to the third one)
123
                                dxOutput        : in std_logic_vector(levelW-1 downto 0);
124
                                dyOutput        : in std_logic_vector(levelW-1 downto 0);
125
                                dzOutput        : in std_logic_vector(levelW-1 downto 0);
126
 
127
                                --Fifth Side (Going to the floor right upstairs!)
128 22 jguarin200
                                vdOutput        : out std_logic_vector(nLevelW-1 downto 0) -- Dot product.
129 16 jguarin200
 
130 22 jguarin200
                );
131 16 jguarin200
        end component;
132
 
133
        -- K discriminant comparison.
134
        component kComparisonCell
135
                generic (       W               : integer := 32;
136
                                );
137
                port    (
138
                                        clk                     : in std_logic;
139
                                        rst                     : in std_logic;
140
 
141
                                        nxtRow  : in std_logic; -- Controls when the sphere goes to the next Row. 
142 22 jguarin200
 
143 16 jguarin200
                                        vdinput : in std_logic_vector (W-1 downto 0);
144
                                        kinput  : in std_logic_vector (W-1 downto 0);
145
                                        koutput : out std_logic_vector (W-1 downto 0);
146
 
147 24 jguarin200
                                        vdoutput: out std_logic_vector (W-1 downto 0) -- Selected dot product.                                   
148 16 jguarin200
                );
149 22 jguarin200
        end component;
150 16 jguarin200
 
151 22 jguarin200
end powerGrid;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.