OpenCores
URL https://opencores.org/ocsvn/kiss-board/kiss-board/trunk

Subversion Repositories kiss-board

[/] [kiss-board/] [tags/] [initial/] [kiss-board_soc/] [src/] [extend/] [or1200/] [or1200_top.v] - Blame information for rev 3

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 fukuchi
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  OR1200 Top Level                                            ////
4
////                                                              ////
5
////  This file is part of the OpenRISC 1200 project              ////
6
////  http://www.opencores.org/cores/or1k/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  OR1200 Top Level                                            ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   - make it smaller and faster                               ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Damjan Lampret, lampret@opencores.org                 ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46
// $Log: not supported by cvs2svn $
47
// Revision 1.13  2004/06/08 18:17:36  lampret
48
// Non-functional changes. Coding style fixes.
49
//
50
// Revision 1.12  2004/04/05 08:29:57  lampret
51
// Merged branch_qmem into main tree.
52
//
53
// Revision 1.10.4.9  2004/02/11 01:40:11  lampret
54
// preliminary HW breakpoints support in debug unit (by default disabled). To enable define OR1200_DU_HWBKPTS.
55
//
56
// Revision 1.10.4.8  2004/01/17 21:14:14  simons
57
// Errors fixed.
58
//
59
// Revision 1.10.4.7  2004/01/17 19:06:38  simons
60
// Error fixed.
61
//
62
// Revision 1.10.4.6  2004/01/17 18:39:48  simons
63
// Error fixed.
64
//
65
// Revision 1.10.4.5  2004/01/15 06:46:38  markom
66
// interface to debug changed; no more opselect; stb-ack protocol
67
//
68
// Revision 1.10.4.4  2003/12/09 11:46:49  simons
69
// Mbist nameing changed, Artisan ram instance signal names fixed, some synthesis waning fixed.
70
//
71
// Revision 1.10.4.3  2003/12/05 00:08:44  lampret
72
// Fixed instantiation name.
73
//
74
// Revision 1.10.4.2  2003/07/11 01:10:35  lampret
75
// Added three missing wire declarations. No functional changes.
76
//
77
// Revision 1.10.4.1  2003/07/08 15:36:37  lampret
78
// Added embedded memory QMEM.
79
//
80
// Revision 1.10  2002/12/08 08:57:56  lampret
81
// Added optional support for WB B3 specification (xwb_cti_o, xwb_bte_o). Made xwb_cab_o optional.
82
//
83
// Revision 1.9  2002/10/17 20:04:41  lampret
84
// Added BIST scan. Special VS RAMs need to be used to implement BIST.
85
//
86
// Revision 1.8  2002/08/18 19:54:22  lampret
87
// Added store buffer.
88
//
89
// Revision 1.7  2002/07/14 22:17:17  lampret
90
// Added simple trace buffer [only for Xilinx Virtex target]. Fixed instruction fetch abort when new exception is recognized.
91
//
92
// Revision 1.6  2002/03/29 15:16:56  lampret
93
// Some of the warnings fixed.
94
//
95
// Revision 1.5  2002/02/11 04:33:17  lampret
96
// Speed optimizations (removed duplicate _cyc_ and _stb_). Fixed D/IMMU cache-inhibit attr.
97
//
98
// Revision 1.4  2002/02/01 19:56:55  lampret
99
// Fixed combinational loops.
100
//
101
// Revision 1.3  2002/01/28 01:16:00  lampret
102
// Changed 'void' nop-ops instead of insn[0] to use insn[16]. Debug unit stalls the tick timer. Prepared new flag generation for add and and insns. Blocked DC/IC while they are turned off. Fixed I/D MMU SPRs layout except WAYs. TODO: smart IC invalidate, l.j 2 and TLB ways.
103
//
104
// Revision 1.2  2002/01/18 07:56:00  lampret
105
// No more low/high priority interrupts (PICPR removed). Added tick timer exception. Added exception prefix (SR[EPH]). Fixed single-step bug whenreading NPC.
106
//
107
// Revision 1.1  2002/01/03 08:16:15  lampret
108
// New prefixes for RTL files, prefixed module names. Updated cache controllers and MMUs.
109
//
110
// Revision 1.13  2001/11/23 08:38:51  lampret
111
// Changed DSR/DRR behavior and exception detection.
112
//
113
// Revision 1.12  2001/11/20 00:57:22  lampret
114
// Fixed width of du_except.
115
//
116
// Revision 1.11  2001/11/18 08:36:28  lampret
117
// For GDB changed single stepping and disabled trap exception.
118
//
119
// Revision 1.10  2001/10/21 17:57:16  lampret
120
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from dc.v and ic.v. Fixed CR+LF.
121
//
122
// Revision 1.9  2001/10/14 13:12:10  lampret
123
// MP3 version.
124
//
125
// Revision 1.1.1.1  2001/10/06 10:18:35  igorm
126
// no message
127
//
128
// Revision 1.4  2001/08/13 03:36:20  lampret
129
// Added cfg regs. Moved all defines into one defines.v file. More cleanup.
130
//
131
// Revision 1.3  2001/08/09 13:39:33  lampret
132
// Major clean-up.
133
//
134
// Revision 1.2  2001/07/22 03:31:54  lampret
135
// Fixed RAM's oen bug. Cache bypass under development.
136
//
137
// Revision 1.1  2001/07/20 00:46:21  lampret
138
// Development version of RTL. Libraries are missing.
139
//
140
//
141
 
142
// synopsys translate_off
143
`include "timescale.v"
144
// synopsys translate_on
145
`include "or1200_defines.v"
146
 
147
//`define TESTTEST
148
 
149
module or1200_top(
150
        // System
151
        clk_i, rst_i, pic_ints_i, clmode_i,
152
 
153
        // Instruction WISHBONE INTERFACE
154
        iwb_clk_i, iwb_rst_i, iwb_ack_i, iwb_err_i, iwb_rty_i, iwb_dat_i,
155
        iwb_cyc_o, iwb_adr_o, iwb_stb_o, iwb_we_o, iwb_sel_o, iwb_dat_o,
156
`ifdef OR1200_WB_CAB
157
        iwb_cab_o,
158
`endif
159
`ifdef OR1200_WB_B3
160
        iwb_cti_o, iwb_bte_o,
161
`endif
162
        // Data WISHBONE INTERFACE
163
        dwb_clk_i, dwb_rst_i, dwb_ack_i, dwb_err_i, dwb_rty_i, dwb_dat_i,
164
        dwb_cyc_o, dwb_adr_o, dwb_stb_o, dwb_we_o, dwb_sel_o, dwb_dat_o,
165
`ifdef OR1200_WB_CAB
166
        dwb_cab_o,
167
`endif
168
`ifdef OR1200_WB_B3
169
        dwb_cti_o, dwb_bte_o,
170
`endif
171
 
172
        // External Debug Interface
173
        dbg_stall_i, dbg_ewt_i, dbg_lss_o, dbg_is_o, dbg_wp_o, dbg_bp_o,
174
        dbg_stb_i, dbg_we_i, dbg_adr_i, dbg_dat_i, dbg_dat_o, dbg_ack_o,
175
 
176
`ifdef OR1200_BIST
177
        // RAM BIST
178
        mbist_si_i, mbist_so_o, mbist_ctrl_i,
179
`endif
180
        // Power Management
181
        pm_cpustall_i,
182
        pm_clksd_o, pm_dc_gate_o, pm_ic_gate_o, pm_dmmu_gate_o,
183
        pm_immu_gate_o, pm_tt_gate_o, pm_cpu_gate_o, pm_wakeup_o, pm_lvolt_o
184
 
185
);
186
 
187
parameter dw = `OR1200_OPERAND_WIDTH;
188
parameter aw = `OR1200_OPERAND_WIDTH;
189
parameter ppic_ints = `OR1200_PIC_INTS;
190
 
191
//
192
// I/O
193
//
194
 
195
//
196
// System
197
//
198
input                   clk_i;
199
input                   rst_i;
200
input   [1:0]            clmode_i;       // 00 WB=RISC, 01 WB=RISC/2, 10 N/A, 11 WB=RISC/4
201
input   [ppic_ints-1:0]  pic_ints_i;
202
 
203
//
204
// Instruction WISHBONE interface
205
//
206
input                   iwb_clk_i;      // clock input
207
input                   iwb_rst_i;      // reset input
208
input                   iwb_ack_i;      // normal termination
209
input                   iwb_err_i;      // termination w/ error
210
input                   iwb_rty_i;      // termination w/ retry
211
input   [dw-1:0] iwb_dat_i;      // input data bus
212
output                  iwb_cyc_o;      // cycle valid output
213
output  [aw-1:0] iwb_adr_o;      // address bus outputs
214
output                  iwb_stb_o;      // strobe output
215
output                  iwb_we_o;       // indicates write transfer
216
output  [3:0]            iwb_sel_o;      // byte select outputs
217
output  [dw-1:0] iwb_dat_o;      // output data bus
218
`ifdef OR1200_WB_CAB
219
output                  iwb_cab_o;      // indicates consecutive address burst
220
`endif
221
`ifdef OR1200_WB_B3
222
output  [2:0]            iwb_cti_o;      // cycle type identifier
223
output  [1:0]            iwb_bte_o;      // burst type extension
224
`endif
225
 
226
//
227
// Data WISHBONE interface
228
//
229
input                   dwb_clk_i;      // clock input
230
input                   dwb_rst_i;      // reset input
231
input                   dwb_ack_i;      // normal termination
232
input                   dwb_err_i;      // termination w/ error
233
input                   dwb_rty_i;      // termination w/ retry
234
input   [dw-1:0] dwb_dat_i;      // input data bus
235
output                  dwb_cyc_o;      // cycle valid output
236
output  [aw-1:0] dwb_adr_o;      // address bus outputs
237
output                  dwb_stb_o;      // strobe output
238
output                  dwb_we_o;       // indicates write transfer
239
output  [3:0]            dwb_sel_o;      // byte select outputs
240
output  [dw-1:0] dwb_dat_o;      // output data bus
241
`ifdef OR1200_WB_CAB
242
output                  dwb_cab_o;      // indicates consecutive address burst
243
`endif
244
`ifdef OR1200_WB_B3
245
output  [2:0]            dwb_cti_o;      // cycle type identifier
246
output  [1:0]            dwb_bte_o;      // burst type extension
247
`endif
248
 
249
//
250
// External Debug Interface
251
//
252
input                   dbg_stall_i;    // External Stall Input
253
input                   dbg_ewt_i;      // External Watchpoint Trigger Input
254
output  [3:0]            dbg_lss_o;      // External Load/Store Unit Status
255
output  [1:0]            dbg_is_o;       // External Insn Fetch Status
256
output  [10:0]           dbg_wp_o;       // Watchpoints Outputs
257
output                  dbg_bp_o;       // Breakpoint Output
258
input                   dbg_stb_i;      // External Address/Data Strobe
259
input                   dbg_we_i;       // External Write Enable
260
input   [aw-1:0] dbg_adr_i;      // External Address Input
261
input   [dw-1:0] dbg_dat_i;      // External Data Input
262
output  [dw-1:0] dbg_dat_o;      // External Data Output
263
output                  dbg_ack_o;      // External Data Acknowledge (not WB compatible)
264
 
265
`ifdef OR1200_BIST
266
//
267
// RAM BIST
268
//
269
input mbist_si_i;
270
input [`OR1200_MBIST_CTRL_WIDTH - 1:0] mbist_ctrl_i;
271
output mbist_so_o;
272
`endif
273
 
274
//
275
// Power Management
276
//
277
input                   pm_cpustall_i;
278
output  [3:0]            pm_clksd_o;
279
output                  pm_dc_gate_o;
280
output                  pm_ic_gate_o;
281
output                  pm_dmmu_gate_o;
282
output                  pm_immu_gate_o;
283
output                  pm_tt_gate_o;
284
output                  pm_cpu_gate_o;
285
output                  pm_wakeup_o;
286
output                  pm_lvolt_o;
287
 
288
 
289
//
290
// Internal wires and regs
291
//
292
 
293
//
294
// DC to SB
295
//
296
wire    [dw-1:0] dcsb_dat_dc;
297
wire    [aw-1:0] dcsb_adr_dc;
298
wire                    dcsb_cyc_dc;
299
wire                    dcsb_stb_dc;
300
wire                    dcsb_we_dc;
301
wire    [3:0]            dcsb_sel_dc;
302
wire                    dcsb_cab_dc;
303
wire    [dw-1:0] dcsb_dat_sb;
304
wire                    dcsb_ack_sb;
305
wire                    dcsb_err_sb;
306
 
307
//
308
// SB to BIU
309
//
310
wire    [dw-1:0] sbbiu_dat_sb;
311
wire    [aw-1:0] sbbiu_adr_sb;
312
wire                    sbbiu_cyc_sb;
313
wire                    sbbiu_stb_sb;
314
wire                    sbbiu_we_sb;
315
wire    [3:0]            sbbiu_sel_sb;
316
wire                    sbbiu_cab_sb;
317
wire    [dw-1:0] sbbiu_dat_biu;
318
wire                    sbbiu_ack_biu;
319
wire                    sbbiu_err_biu;
320
 
321
//
322
// IC to BIU
323
//
324
wire    [dw-1:0] icbiu_dat_ic;
325
wire    [aw-1:0] icbiu_adr_ic;
326
wire                    icbiu_cyc_ic;
327
wire                    icbiu_stb_ic;
328
wire                    icbiu_we_ic;
329
wire    [3:0]            icbiu_sel_ic;
330
wire    [3:0]            icbiu_tag_ic;
331
wire                    icbiu_cab_ic;
332
wire    [dw-1:0] icbiu_dat_biu;
333
wire                    icbiu_ack_biu;
334
wire                    icbiu_err_biu;
335
wire    [3:0]            icbiu_tag_biu;
336
 
337
//
338
// CPU's SPR access to various RISC units (shared wires)
339
//
340
wire                    supv;
341
wire    [aw-1:0] spr_addr;
342
wire    [dw-1:0] spr_dat_cpu;
343
wire    [31:0]           spr_cs;
344
wire                    spr_we;
345
 
346
//
347
// DMMU and CPU
348
//
349
wire                    dmmu_en;
350
wire    [31:0]           spr_dat_dmmu;
351
 
352
//
353
// DMMU and QMEM
354
//
355
wire                    qmemdmmu_err_qmem;
356
wire    [3:0]            qmemdmmu_tag_qmem;
357
wire    [aw-1:0] qmemdmmu_adr_dmmu;
358
wire                    qmemdmmu_cycstb_dmmu;
359
wire                    qmemdmmu_ci_dmmu;
360
 
361
//
362
// CPU and data memory subsystem
363
//
364
wire                    dc_en;
365
wire    [31:0]           dcpu_adr_cpu;
366
wire                    dcpu_cycstb_cpu;
367
wire                    dcpu_we_cpu;
368
wire    [3:0]            dcpu_sel_cpu;
369
wire    [3:0]            dcpu_tag_cpu;
370
wire    [31:0]           dcpu_dat_cpu;
371
wire    [31:0]           dcpu_dat_qmem;
372
wire                    dcpu_ack_qmem;
373
wire                    dcpu_rty_qmem;
374
wire                    dcpu_err_dmmu;
375
wire    [3:0]            dcpu_tag_dmmu;
376
 
377
//
378
// IMMU and CPU
379
//
380
wire                    immu_en;
381
wire    [31:0]           spr_dat_immu;
382
 
383
//
384
// CPU and insn memory subsystem
385
//
386
wire                    ic_en;
387
wire    [31:0]           icpu_adr_cpu;
388
wire                    icpu_cycstb_cpu;
389
wire    [3:0]            icpu_sel_cpu;
390
wire    [3:0]            icpu_tag_cpu;
391
wire    [31:0]           icpu_dat_qmem;
392
wire                    icpu_ack_qmem;
393
wire    [31:0]           icpu_adr_immu;
394
wire                    icpu_err_immu;
395
wire    [3:0]            icpu_tag_immu;
396
wire                    icpu_rty_immu;
397
 
398
//
399
// IMMU and QMEM
400
//
401
wire    [aw-1:0] qmemimmu_adr_immu;
402
wire                    qmemimmu_rty_qmem;
403
wire                    qmemimmu_err_qmem;
404
wire    [3:0]            qmemimmu_tag_qmem;
405
wire                    qmemimmu_cycstb_immu;
406
wire                    qmemimmu_ci_immu;
407
 
408
//
409
// QMEM and IC
410
//
411
wire    [aw-1:0] icqmem_adr_qmem;
412
wire                    icqmem_rty_ic;
413
wire                    icqmem_err_ic;
414
wire    [3:0]            icqmem_tag_ic;
415
wire                    icqmem_cycstb_qmem;
416
wire                    icqmem_ci_qmem;
417
wire    [31:0]           icqmem_dat_ic;
418
wire                    icqmem_ack_ic;
419
 
420
//
421
// QMEM and DC
422
//
423
wire    [aw-1:0] dcqmem_adr_qmem;
424
wire                    dcqmem_rty_dc;
425
wire                    dcqmem_err_dc;
426
wire    [3:0]            dcqmem_tag_dc;
427
wire                    dcqmem_cycstb_qmem;
428
wire                    dcqmem_ci_qmem;
429
wire    [31:0]           dcqmem_dat_dc;
430
wire    [31:0]           dcqmem_dat_qmem;
431
wire                    dcqmem_we_qmem;
432
wire    [3:0]            dcqmem_sel_qmem;
433
wire                    dcqmem_ack_dc;
434
 
435
//
436
// Connection between CPU and PIC
437
//
438
wire    [dw-1:0] spr_dat_pic;
439
wire                    pic_wakeup;
440
wire                    sig_int;
441
 
442
//
443
// Connection between CPU and PM
444
//
445
wire    [dw-1:0] spr_dat_pm;
446
 
447
//
448
// CPU and TT
449
//
450
wire    [dw-1:0] spr_dat_tt;
451
wire                    sig_tick;
452
 
453
//
454
// Debug port and caches/MMUs
455
//
456
wire    [dw-1:0] spr_dat_du;
457
wire                    du_stall;
458
wire    [dw-1:0] du_addr;
459
wire    [dw-1:0] du_dat_du;
460
wire                    du_read;
461
wire                    du_write;
462
wire    [12:0]           du_except;
463
wire    [`OR1200_DU_DSR_WIDTH-1:0]     du_dsr;
464
wire    [dw-1:0] du_dat_cpu;
465
wire                    du_hwbkpt;
466
 
467
wire                    ex_freeze;
468
wire    [31:0]           ex_insn;
469
wire    [31:0]           id_pc;
470
wire    [`OR1200_BRANCHOP_WIDTH-1:0]     branch_op;
471
wire    [31:0]           spr_dat_npc;
472
wire    [31:0]           rf_dataw;
473
 
474
`ifdef OR1200_BIST
475
//
476
// RAM BIST
477
//
478
wire                    mbist_immu_so;
479
wire                    mbist_ic_so;
480
wire                    mbist_dmmu_so;
481
wire                    mbist_dc_so;
482
wire      mbist_qmem_so;
483
wire                    mbist_immu_si = mbist_si_i;
484
wire                    mbist_ic_si = mbist_immu_so;
485
wire                    mbist_qmem_si = mbist_ic_so;
486
wire                    mbist_dmmu_si = mbist_qmem_so;
487
wire                    mbist_dc_si = mbist_dmmu_so;
488
assign                  mbist_so_o = mbist_dc_so;
489
`endif
490
 
491
wire  [3:0] icqmem_sel_qmem;
492
wire  [3:0] icqmem_tag_qmem;
493
wire  [3:0] dcqmem_tag_qmem;
494
 
495
        reg     [31:0]   icbiu_dat_ic_z;
496
        reg     [31:0]   icbiu_adr_ic_z;
497
        reg             icbiu_cyc_ic_z;
498
        reg             icbiu_stb_ic_z;
499
        reg             icbiu_we_ic_z;
500
        reg     [3:0]    icbiu_sel_ic_z;
501
        reg             icbiu_cab_ic_z;
502
        //
503
        reg     [31:0]   icbiu_dat_biu_z;
504
        reg             icbiu_ack_biu_z;
505
        reg             icbiu_err_biu_z;
506
        always @(negedge clk_i or posedge rst_i)
507
                if (rst_i) begin
508
                        icbiu_dat_ic_z  <= 32'd0;
509
                        icbiu_adr_ic_z  <= 32'd0;
510
                        icbiu_cyc_ic_z  <= 1'b0;
511
                        icbiu_stb_ic_z  <= 1'b0;
512
                        icbiu_we_ic_z   <= 1'b0;
513
                        icbiu_sel_ic_z  <= 4'b0000;
514
                        icbiu_cab_ic_z  <= 1'b0;
515
                        //
516
                        icbiu_dat_biu_z <= 32'd0;
517
                        icbiu_ack_biu_z <= 1'b0;
518
                        icbiu_err_biu_z <= 1'b0;
519
                end
520
                else begin
521
                        icbiu_dat_ic_z  <= icbiu_dat_ic;
522
                        icbiu_adr_ic_z  <= icbiu_adr_ic;
523
                        icbiu_cyc_ic_z  <= icbiu_cyc_ic;
524
                        icbiu_stb_ic_z  <= icbiu_stb_ic;
525
                        icbiu_we_ic_z   <= icbiu_we_ic;
526
                        icbiu_sel_ic_z  <= icbiu_sel_ic;
527
                        icbiu_cab_ic_z  <= icbiu_cab_ic;
528
                        //
529
                        icbiu_dat_biu_z <= icbiu_dat_biu;
530
                        icbiu_ack_biu_z <= icbiu_ack_biu;
531
                        icbiu_err_biu_z <= icbiu_err_biu;
532
                end
533
        reg     [31:0]   sbbiu_dat_sb_z;
534
        reg     [31:0]   sbbiu_adr_sb_z;
535
        reg             sbbiu_cyc_sb_z;
536
        reg             sbbiu_stb_sb_z;
537
        reg             sbbiu_we_sb_z;
538
        reg     [3:0]    sbbiu_sel_sb_z;
539
        reg             sbbiu_cab_sb_z;
540
        //
541
        reg     [31:0]   sbbiu_dat_biu_z;
542
        reg             sbbiu_ack_biu_z;
543
        reg             sbbiu_err_biu_z;
544
        always @(negedge clk_i or posedge rst_i)
545
                if (rst_i) begin
546
                        sbbiu_dat_sb_z  <= 32'd0;
547
                        sbbiu_adr_sb_z  <= 32'd0;
548
                        sbbiu_cyc_sb_z  <= 1'b0;
549
                        sbbiu_stb_sb_z  <= 1'b0;
550
                        sbbiu_we_sb_z   <= 1'b0;
551
                        sbbiu_sel_sb_z  <= 4'b0000;
552
                        sbbiu_cab_sb_z  <= 1'b0;
553
                        //
554
                        sbbiu_dat_biu_z <= 32'd0;
555
                        sbbiu_ack_biu_z <= 1'b0;
556
                        sbbiu_err_biu_z <= 1'b0;
557
                end
558
                else begin
559
                        sbbiu_dat_sb_z  <= sbbiu_dat_sb;
560
                        sbbiu_adr_sb_z  <= sbbiu_adr_sb;
561
                        sbbiu_cyc_sb_z  <= sbbiu_cyc_sb;
562
                        sbbiu_stb_sb_z  <= sbbiu_stb_sb;
563
                        sbbiu_we_sb_z   <= sbbiu_we_sb;
564
                        sbbiu_sel_sb_z  <= sbbiu_sel_sb;
565
                        sbbiu_cab_sb_z  <= sbbiu_cab_sb;
566
                        //
567
                        sbbiu_dat_biu_z <= sbbiu_dat_biu;
568
                        sbbiu_ack_biu_z <= sbbiu_ack_biu;
569
                        sbbiu_err_biu_z <= sbbiu_err_biu;
570
                end
571
 
572
 
573
//
574
// Instantiation of Instruction WISHBONE BIU
575
//
576
or1200_iwb_biu iwb_biu(
577
        // RISC clk, rst and clock control
578
        .clk(clk_i),
579
        .rst(rst_i),
580
        .clmode(clmode_i),
581
 
582
        // WISHBONE interface
583
        .wb_clk_i(iwb_clk_i),
584
        .wb_rst_i(iwb_rst_i),
585
        .wb_ack_i(iwb_ack_i),
586
        .wb_err_i(iwb_err_i),
587
        .wb_rty_i(iwb_rty_i),
588
        .wb_dat_i(iwb_dat_i),
589
        .wb_cyc_o(iwb_cyc_o),
590
        .wb_adr_o(iwb_adr_o),
591
        .wb_stb_o(iwb_stb_o),
592
        .wb_we_o(iwb_we_o),
593
        .wb_sel_o(iwb_sel_o),
594
        .wb_dat_o(iwb_dat_o),
595
`ifdef OR1200_WB_CAB
596
        .wb_cab_o(iwb_cab_o),
597
`endif
598
`ifdef OR1200_WB_B3
599
        .wb_cti_o(iwb_cti_o),
600
        .wb_bte_o(iwb_bte_o),
601
`endif
602
 
603
        // Internal RISC bus
604
`ifndef TESTTEST
605
        .biu_dat_i(icbiu_dat_ic),////////////////////////////////////////////
606
        .biu_adr_i(icbiu_adr_ic),////////////////////////////////////////////
607
        .biu_cyc_i(icbiu_cyc_ic),////////////////////////////////////////////
608
        .biu_stb_i(icbiu_stb_ic),////////////////////////////////////////////
609
        .biu_we_i(icbiu_we_ic),////////////////////////////////////////////
610
        .biu_sel_i(icbiu_sel_ic),////////////////////////////////////////////
611
        .biu_cab_i(icbiu_cab_ic),////////////////////////////////////////////
612
`else
613
        .biu_dat_i(icbiu_dat_ic_z),
614
        .biu_adr_i(icbiu_adr_ic_z),
615
        .biu_cyc_i(icbiu_cyc_ic_z),
616
        .biu_stb_i(icbiu_stb_ic_z),
617
        .biu_we_i(icbiu_we_ic_z),
618
        .biu_sel_i(icbiu_sel_ic_z),
619
        .biu_cab_i(icbiu_cab_ic_z),
620
`endif
621
        .biu_dat_o(icbiu_dat_biu),
622
        .biu_ack_o(icbiu_ack_biu),
623
        .biu_err_o(icbiu_err_biu)
624
);
625
 
626
//
627
// Instantiation of Data WISHBONE BIU
628
//
629
or1200_wb_biu dwb_biu(
630
        // RISC clk, rst and clock control
631
        .clk(clk_i),
632
        .rst(rst_i),
633
        .clmode(clmode_i),
634
 
635
        // WISHBONE interface
636
        .wb_clk_i(dwb_clk_i),
637
        .wb_rst_i(dwb_rst_i),
638
        .wb_ack_i(dwb_ack_i),
639
        .wb_err_i(dwb_err_i),
640
        .wb_rty_i(dwb_rty_i),
641
        .wb_dat_i(dwb_dat_i),
642
        .wb_cyc_o(dwb_cyc_o),
643
        .wb_adr_o(dwb_adr_o),
644
        .wb_stb_o(dwb_stb_o),
645
        .wb_we_o(dwb_we_o),
646
        .wb_sel_o(dwb_sel_o),
647
        .wb_dat_o(dwb_dat_o),
648
`ifdef OR1200_WB_CAB
649
        .wb_cab_o(dwb_cab_o),
650
`endif
651
`ifdef OR1200_WB_B3
652
        .wb_cti_o(dwb_cti_o),
653
        .wb_bte_o(dwb_bte_o),
654
`endif
655
 
656
        // Internal RISC bus
657
`ifndef TESTTEST
658
        .biu_dat_i(sbbiu_dat_sb),////////////////////////////////////////////
659
        .biu_adr_i(sbbiu_adr_sb),////////////////////////////////////////////
660
        .biu_cyc_i(sbbiu_cyc_sb),////////////////////////////////////////////
661
        .biu_stb_i(sbbiu_stb_sb),////////////////////////////////////////////
662
        .biu_we_i(sbbiu_we_sb),////////////////////////////////////////////
663
        .biu_sel_i(sbbiu_sel_sb),////////////////////////////////////////////
664
        .biu_cab_i(sbbiu_cab_sb),////////////////////////////////////////////
665
`else
666
        .biu_dat_i(sbbiu_dat_sb_z),
667
        .biu_adr_i(sbbiu_adr_sb_z),
668
        .biu_cyc_i(sbbiu_cyc_sb_z),
669
        .biu_stb_i(sbbiu_stb_sb_z),
670
        .biu_we_i(sbbiu_we_sb_z),
671
        .biu_sel_i(sbbiu_sel_sb_z),
672
        .biu_cab_i(sbbiu_cab_sb_z),
673
`endif
674
        .biu_dat_o(sbbiu_dat_biu),
675
        .biu_ack_o(sbbiu_ack_biu),
676
        .biu_err_o(sbbiu_err_biu)
677
);
678
 
679
//
680
// Instantiation of IMMU
681
//
682
or1200_immu_top or1200_immu_top(
683
        // Rst and clk
684
        .clk(clk_i),
685
        .rst(rst_i),
686
 
687
`ifdef OR1200_BIST
688
        // RAM BIST
689
        .mbist_si_i(mbist_immu_si),
690
        .mbist_so_o(mbist_immu_so),
691
        .mbist_ctrl_i(mbist_ctrl_i),
692
`endif
693
 
694
        // CPU and IMMU
695
        .ic_en(ic_en),
696
        .immu_en(immu_en),
697
        .supv(supv),
698
        .icpu_adr_i(icpu_adr_cpu),
699
        .icpu_cycstb_i(icpu_cycstb_cpu),
700
        .icpu_adr_o(icpu_adr_immu),
701
        .icpu_tag_o(icpu_tag_immu),
702
        .icpu_rty_o(icpu_rty_immu),
703
        .icpu_err_o(icpu_err_immu),
704
 
705
        // SPR access
706
        .spr_cs(spr_cs[`OR1200_SPR_GROUP_IMMU]),
707
        .spr_write(spr_we),
708
        .spr_addr(spr_addr),
709
        .spr_dat_i(spr_dat_cpu),
710
        .spr_dat_o(spr_dat_immu),
711
 
712
        // QMEM and IMMU
713
        .qmemimmu_rty_i(qmemimmu_rty_qmem),
714
        .qmemimmu_err_i(qmemimmu_err_qmem),
715
        .qmemimmu_tag_i(qmemimmu_tag_qmem),
716
        .qmemimmu_adr_o(qmemimmu_adr_immu),
717
        .qmemimmu_cycstb_o(qmemimmu_cycstb_immu),
718
        .qmemimmu_ci_o(qmemimmu_ci_immu)
719
);
720
 
721
//
722
// Instantiation of Instruction Cache
723
//
724
or1200_ic_top or1200_ic_top(
725
        .clk(clk_i),
726
        .rst(rst_i),
727
 
728
`ifdef OR1200_BIST
729
        // RAM BIST
730
        .mbist_si_i(mbist_ic_si),
731
        .mbist_so_o(mbist_ic_so),
732
        .mbist_ctrl_i(mbist_ctrl_i),
733
`endif
734
 
735
        // IC and QMEM
736
        .ic_en(ic_en),
737
        .icqmem_adr_i(icqmem_adr_qmem),
738
        .icqmem_cycstb_i(icqmem_cycstb_qmem),
739
        .icqmem_ci_i(icqmem_ci_qmem),
740
        .icqmem_sel_i(icqmem_sel_qmem),
741
        .icqmem_tag_i(icqmem_tag_qmem),
742
        .icqmem_dat_o(icqmem_dat_ic),
743
        .icqmem_ack_o(icqmem_ack_ic),
744
        .icqmem_rty_o(icqmem_rty_ic),
745
        .icqmem_err_o(icqmem_err_ic),
746
        .icqmem_tag_o(icqmem_tag_ic),
747
 
748
        // SPR access
749
        .spr_cs(spr_cs[`OR1200_SPR_GROUP_IC]),
750
        .spr_write(spr_we),
751
        .spr_dat_i(spr_dat_cpu),
752
 
753
        // IC and BIU
754
        .icbiu_dat_o(icbiu_dat_ic),
755
        .icbiu_adr_o(icbiu_adr_ic),
756
        .icbiu_cyc_o(icbiu_cyc_ic),
757
        .icbiu_stb_o(icbiu_stb_ic),
758
        .icbiu_we_o(icbiu_we_ic),
759
        .icbiu_sel_o(icbiu_sel_ic),
760
        .icbiu_cab_o(icbiu_cab_ic),
761
`ifndef TESTTEST
762
        .icbiu_dat_i(icbiu_dat_biu),////////////////////////////////////////////
763
        .icbiu_ack_i(icbiu_ack_biu),////////////////////////////////////////////
764
        .icbiu_err_i(icbiu_err_biu)////////////////////////////////////////////
765
`else
766
        .icbiu_dat_i(icbiu_dat_biu_z),
767
        .icbiu_ack_i(icbiu_ack_biu_z),
768
        .icbiu_err_i(icbiu_err_biu_z)
769
`endif
770
);
771
 
772
//
773
// Instantiation of Instruction Cache
774
//
775
or1200_cpu or1200_cpu(
776
        .clk(clk_i),
777
        .rst(rst_i),
778
 
779
        // Connection QMEM and IFETCHER inside CPU
780
        .ic_en(ic_en),
781
        .icpu_adr_o(icpu_adr_cpu),
782
        .icpu_cycstb_o(icpu_cycstb_cpu),
783
        .icpu_sel_o(icpu_sel_cpu),
784
        .icpu_tag_o(icpu_tag_cpu),
785
        .icpu_dat_i(icpu_dat_qmem),
786
        .icpu_ack_i(icpu_ack_qmem),
787
        .icpu_rty_i(icpu_rty_immu),
788
        .icpu_adr_i(icpu_adr_immu),
789
        .icpu_err_i(icpu_err_immu),
790
        .icpu_tag_i(icpu_tag_immu),
791
 
792
        // Connection CPU to external Debug port
793
        .ex_freeze(ex_freeze),
794
        .ex_insn(ex_insn),
795
        .id_pc(id_pc),
796
        .branch_op(branch_op),
797
        .du_stall(du_stall),
798
        .du_addr(du_addr),
799
        .du_dat_du(du_dat_du),
800
        .du_read(du_read),
801
        .du_write(du_write),
802
        .du_dsr(du_dsr),
803
        .du_except(du_except),
804
        .du_dat_cpu(du_dat_cpu),
805
        .du_hwbkpt(du_hwbkpt),
806
        .rf_dataw(rf_dataw),
807
 
808
 
809
        // Connection IMMU and CPU internally
810
        .immu_en(immu_en),
811
 
812
        // Connection QMEM and CPU
813
        .dc_en(dc_en),
814
        .dcpu_adr_o(dcpu_adr_cpu),
815
        .dcpu_cycstb_o(dcpu_cycstb_cpu),
816
        .dcpu_we_o(dcpu_we_cpu),
817
        .dcpu_sel_o(dcpu_sel_cpu),
818
        .dcpu_tag_o(dcpu_tag_cpu),
819
        .dcpu_dat_o(dcpu_dat_cpu),
820
        .dcpu_dat_i(dcpu_dat_qmem),
821
        .dcpu_ack_i(dcpu_ack_qmem),
822
        .dcpu_rty_i(dcpu_rty_qmem),
823
        .dcpu_err_i(dcpu_err_dmmu),
824
        .dcpu_tag_i(dcpu_tag_dmmu),
825
 
826
        // Connection DMMU and CPU internally
827
        .dmmu_en(dmmu_en),
828
 
829
        // Connection PIC and CPU's EXCEPT
830
        .sig_int(sig_int),
831
        .sig_tick(sig_tick),
832
 
833
        // SPRs
834
        .supv(supv),
835
        .spr_addr(spr_addr),
836
        .spr_dat_cpu(spr_dat_cpu),
837
        .spr_dat_pic(spr_dat_pic),
838
        .spr_dat_tt(spr_dat_tt),
839
        .spr_dat_pm(spr_dat_pm),
840
        .spr_dat_dmmu(spr_dat_dmmu),
841
        .spr_dat_immu(spr_dat_immu),
842
        .spr_dat_du(spr_dat_du),
843
        .spr_dat_npc(spr_dat_npc),
844
        .spr_cs(spr_cs),
845
        .spr_we(spr_we)
846
);
847
 
848
//
849
// Instantiation of DMMU
850
//
851
or1200_dmmu_top or1200_dmmu_top(
852
        // Rst and clk
853
        .clk(clk_i),
854
        .rst(rst_i),
855
 
856
`ifdef OR1200_BIST
857
        // RAM BIST
858
        .mbist_si_i(mbist_dmmu_si),
859
        .mbist_so_o(mbist_dmmu_so),
860
        .mbist_ctrl_i(mbist_ctrl_i),
861
`endif
862
 
863
        // CPU i/f
864
        .dc_en(dc_en),
865
        .dmmu_en(dmmu_en),
866
        .supv(supv),
867
        .dcpu_adr_i(dcpu_adr_cpu),
868
        .dcpu_cycstb_i(dcpu_cycstb_cpu),
869
        .dcpu_we_i(dcpu_we_cpu),
870
        .dcpu_tag_o(dcpu_tag_dmmu),
871
        .dcpu_err_o(dcpu_err_dmmu),
872
 
873
        // SPR access
874
        .spr_cs(spr_cs[`OR1200_SPR_GROUP_DMMU]),
875
        .spr_write(spr_we),
876
        .spr_addr(spr_addr),
877
        .spr_dat_i(spr_dat_cpu),
878
        .spr_dat_o(spr_dat_dmmu),
879
 
880
        // QMEM and DMMU
881
        .qmemdmmu_err_i(qmemdmmu_err_qmem),
882
        .qmemdmmu_tag_i(qmemdmmu_tag_qmem),
883
        .qmemdmmu_adr_o(qmemdmmu_adr_dmmu),
884
        .qmemdmmu_cycstb_o(qmemdmmu_cycstb_dmmu),
885
        .qmemdmmu_ci_o(qmemdmmu_ci_dmmu)
886
);
887
 
888
//
889
// Instantiation of Data Cache
890
//
891
or1200_dc_top or1200_dc_top(
892
        .clk(clk_i),
893
        .rst(rst_i),
894
 
895
`ifdef OR1200_BIST
896
        // RAM BIST
897
        .mbist_si_i(mbist_dc_si),
898
        .mbist_so_o(mbist_dc_so),
899
        .mbist_ctrl_i(mbist_ctrl_i),
900
`endif
901
 
902
        // DC and QMEM
903
        .dc_en(dc_en),
904
        .dcqmem_adr_i(dcqmem_adr_qmem),
905
        .dcqmem_cycstb_i(dcqmem_cycstb_qmem),
906
        .dcqmem_ci_i(dcqmem_ci_qmem),
907
        .dcqmem_we_i(dcqmem_we_qmem),
908
        .dcqmem_sel_i(dcqmem_sel_qmem),
909
        .dcqmem_tag_i(dcqmem_tag_qmem),
910
        .dcqmem_dat_i(dcqmem_dat_qmem),
911
        .dcqmem_dat_o(dcqmem_dat_dc),
912
        .dcqmem_ack_o(dcqmem_ack_dc),
913
        .dcqmem_rty_o(dcqmem_rty_dc),
914
        .dcqmem_err_o(dcqmem_err_dc),
915
        .dcqmem_tag_o(dcqmem_tag_dc),
916
 
917
        // SPR access
918
        .spr_cs(spr_cs[`OR1200_SPR_GROUP_DC]),
919
        .spr_write(spr_we),
920
        .spr_dat_i(spr_dat_cpu),
921
 
922
        // DC and BIU
923
        .dcsb_dat_o(dcsb_dat_dc),
924
        .dcsb_adr_o(dcsb_adr_dc),
925
        .dcsb_cyc_o(dcsb_cyc_dc),
926
        .dcsb_stb_o(dcsb_stb_dc),
927
        .dcsb_we_o(dcsb_we_dc),
928
        .dcsb_sel_o(dcsb_sel_dc),
929
        .dcsb_cab_o(dcsb_cab_dc),
930
        .dcsb_dat_i(dcsb_dat_sb),
931
        .dcsb_ack_i(dcsb_ack_sb),
932
        .dcsb_err_i(dcsb_err_sb)
933
);
934
 
935
//
936
// Instantiation of embedded memory - qmem
937
//
938
or1200_qmem_top or1200_qmem_top(
939
        .clk(clk_i),
940
        .rst(rst_i),
941
 
942
`ifdef OR1200_BIST
943
        // RAM BIST
944
        .mbist_si_i(mbist_qmem_si),
945
        .mbist_so_o(mbist_qmem_so),
946
        .mbist_ctrl_i(mbist_ctrl_i),
947
`endif
948
 
949
        // QMEM and CPU/IMMU
950
        .qmemimmu_adr_i(qmemimmu_adr_immu),
951
        .qmemimmu_cycstb_i(qmemimmu_cycstb_immu),
952
        .qmemimmu_ci_i(qmemimmu_ci_immu),
953
        .qmemicpu_sel_i(icpu_sel_cpu),
954
        .qmemicpu_tag_i(icpu_tag_cpu),
955
        .qmemicpu_dat_o(icpu_dat_qmem),
956
        .qmemicpu_ack_o(icpu_ack_qmem),
957
        .qmemimmu_rty_o(qmemimmu_rty_qmem),
958
        .qmemimmu_err_o(qmemimmu_err_qmem),
959
        .qmemimmu_tag_o(qmemimmu_tag_qmem),
960
 
961
        // QMEM and IC
962
        .icqmem_adr_o(icqmem_adr_qmem),
963
        .icqmem_cycstb_o(icqmem_cycstb_qmem),
964
        .icqmem_ci_o(icqmem_ci_qmem),
965
        .icqmem_sel_o(icqmem_sel_qmem),
966
        .icqmem_tag_o(icqmem_tag_qmem),
967
        .icqmem_dat_i(icqmem_dat_ic),
968
        .icqmem_ack_i(icqmem_ack_ic),
969
        .icqmem_rty_i(icqmem_rty_ic),
970
        .icqmem_err_i(icqmem_err_ic),
971
        .icqmem_tag_i(icqmem_tag_ic),
972
 
973
        // QMEM and CPU/DMMU
974
        .qmemdmmu_adr_i(qmemdmmu_adr_dmmu),
975
        .qmemdmmu_cycstb_i(qmemdmmu_cycstb_dmmu),
976
        .qmemdmmu_ci_i(qmemdmmu_ci_dmmu),
977
        .qmemdcpu_we_i(dcpu_we_cpu),
978
        .qmemdcpu_sel_i(dcpu_sel_cpu),
979
        .qmemdcpu_tag_i(dcpu_tag_cpu),
980
        .qmemdcpu_dat_i(dcpu_dat_cpu),
981
        .qmemdcpu_dat_o(dcpu_dat_qmem),
982
        .qmemdcpu_ack_o(dcpu_ack_qmem),
983
        .qmemdcpu_rty_o(dcpu_rty_qmem),
984
        .qmemdmmu_err_o(qmemdmmu_err_qmem),
985
        .qmemdmmu_tag_o(qmemdmmu_tag_qmem),
986
 
987
        // QMEM and DC
988
        .dcqmem_adr_o(dcqmem_adr_qmem),
989
        .dcqmem_cycstb_o(dcqmem_cycstb_qmem),
990
        .dcqmem_ci_o(dcqmem_ci_qmem),
991
        .dcqmem_we_o(dcqmem_we_qmem),
992
        .dcqmem_sel_o(dcqmem_sel_qmem),
993
        .dcqmem_tag_o(dcqmem_tag_qmem),
994
        .dcqmem_dat_o(dcqmem_dat_qmem),
995
        .dcqmem_dat_i(dcqmem_dat_dc),
996
        .dcqmem_ack_i(dcqmem_ack_dc),
997
        .dcqmem_rty_i(dcqmem_rty_dc),
998
        .dcqmem_err_i(dcqmem_err_dc),
999
        .dcqmem_tag_i(dcqmem_tag_dc)
1000
);
1001
 
1002
//
1003
// Instantiation of Store Buffer
1004
//
1005
or1200_sb or1200_sb(
1006
        // RISC clock, reset
1007
        .clk(clk_i),
1008
        .rst(rst_i),
1009
 
1010
        // Internal RISC bus (DC<->SB)
1011
        .dcsb_dat_i(dcsb_dat_dc),
1012
        .dcsb_adr_i(dcsb_adr_dc),
1013
        .dcsb_cyc_i(dcsb_cyc_dc),
1014
        .dcsb_stb_i(dcsb_stb_dc),
1015
        .dcsb_we_i(dcsb_we_dc),
1016
        .dcsb_sel_i(dcsb_sel_dc),
1017
        .dcsb_cab_i(dcsb_cab_dc),
1018
        .dcsb_dat_o(dcsb_dat_sb),
1019
        .dcsb_ack_o(dcsb_ack_sb),
1020
        .dcsb_err_o(dcsb_err_sb),
1021
 
1022
        // SB and BIU
1023
        .sbbiu_dat_o(sbbiu_dat_sb),
1024
        .sbbiu_adr_o(sbbiu_adr_sb),
1025
        .sbbiu_cyc_o(sbbiu_cyc_sb),
1026
        .sbbiu_stb_o(sbbiu_stb_sb),
1027
        .sbbiu_we_o(sbbiu_we_sb),
1028
        .sbbiu_sel_o(sbbiu_sel_sb),
1029
        .sbbiu_cab_o(sbbiu_cab_sb),
1030
`ifndef TESTTEST
1031
        .sbbiu_dat_i(sbbiu_dat_biu),////////////////////////////////////////////
1032
        .sbbiu_ack_i(sbbiu_ack_biu),////////////////////////////////////////////
1033
        .sbbiu_err_i(sbbiu_err_biu)////////////////////////////////////////////
1034
`else
1035
        .sbbiu_dat_i(sbbiu_dat_biu_z),
1036
        .sbbiu_ack_i(sbbiu_ack_biu_z),
1037
        .sbbiu_err_i(sbbiu_err_biu_z)
1038
`endif
1039
);
1040
 
1041
//
1042
// Instantiation of Debug Unit
1043
//
1044
or1200_du or1200_du(
1045
        // RISC Internal Interface
1046
        .clk(clk_i),
1047
        .rst(rst_i),
1048
        .dcpu_cycstb_i(dcpu_cycstb_cpu),
1049
        .dcpu_we_i(dcpu_we_cpu),
1050
        .dcpu_adr_i(dcpu_adr_cpu),
1051
        .dcpu_dat_lsu(dcpu_dat_cpu),
1052
        .dcpu_dat_dc(dcpu_dat_qmem),
1053
        .icpu_cycstb_i(icpu_cycstb_cpu),
1054
        .ex_freeze(ex_freeze),
1055
        .branch_op(branch_op),
1056
        .ex_insn(ex_insn),
1057
        .id_pc(id_pc),
1058
        .du_dsr(du_dsr),
1059
 
1060
        // For Trace buffer
1061
        .spr_dat_npc(spr_dat_npc),
1062
        .rf_dataw(rf_dataw),
1063
 
1064
        // DU's access to SPR unit
1065
        .du_stall(du_stall),
1066
        .du_addr(du_addr),
1067
        .du_dat_i(du_dat_cpu),
1068
        .du_dat_o(du_dat_du),
1069
        .du_read(du_read),
1070
        .du_write(du_write),
1071
        .du_except(du_except),
1072
        .du_hwbkpt(du_hwbkpt),
1073
 
1074
        // Access to DU's SPRs
1075
        .spr_cs(spr_cs[`OR1200_SPR_GROUP_DU]),
1076
        .spr_write(spr_we),
1077
        .spr_addr(spr_addr),
1078
        .spr_dat_i(spr_dat_cpu),
1079
        .spr_dat_o(spr_dat_du),
1080
 
1081
        // External Debug Interface
1082
        .dbg_stall_i(dbg_stall_i),
1083
        .dbg_ewt_i(dbg_ewt_i),
1084
        .dbg_lss_o(dbg_lss_o),
1085
        .dbg_is_o(dbg_is_o),
1086
        .dbg_wp_o(dbg_wp_o),
1087
        .dbg_bp_o(dbg_bp_o),
1088
        .dbg_stb_i(dbg_stb_i),
1089
        .dbg_we_i(dbg_we_i),
1090
        .dbg_adr_i(dbg_adr_i),
1091
        .dbg_dat_i(dbg_dat_i),
1092
        .dbg_dat_o(dbg_dat_o),
1093
        .dbg_ack_o(dbg_ack_o)
1094
);
1095
 
1096
//
1097
// Programmable interrupt controller
1098
//
1099
or1200_pic or1200_pic(
1100
        // RISC Internal Interface
1101
        .clk(clk_i),
1102
        .rst(rst_i),
1103
        .spr_cs(spr_cs[`OR1200_SPR_GROUP_PIC]),
1104
        .spr_write(spr_we),
1105
        .spr_addr(spr_addr),
1106
        .spr_dat_i(spr_dat_cpu),
1107
        .spr_dat_o(spr_dat_pic),
1108
        .pic_wakeup(pic_wakeup),
1109
        .intr(sig_int),
1110
 
1111
        // PIC Interface
1112
        .pic_int(pic_ints_i)
1113
);
1114
 
1115
//
1116
// Instantiation of Tick timer
1117
//
1118
or1200_tt or1200_tt(
1119
        // RISC Internal Interface
1120
        .clk(clk_i),
1121
        .rst(rst_i),
1122
        .du_stall(du_stall),
1123
        .spr_cs(spr_cs[`OR1200_SPR_GROUP_TT]),
1124
        .spr_write(spr_we),
1125
        .spr_addr(spr_addr),
1126
        .spr_dat_i(spr_dat_cpu),
1127
        .spr_dat_o(spr_dat_tt),
1128
        .intr(sig_tick)
1129
);
1130
 
1131
//
1132
// Instantiation of Power Management
1133
//
1134
or1200_pm or1200_pm(
1135
        // RISC Internal Interface
1136
        .clk(clk_i),
1137
        .rst(rst_i),
1138
        .pic_wakeup(pic_wakeup),
1139
        .spr_write(spr_we),
1140
        .spr_addr(spr_addr),
1141
        .spr_dat_i(spr_dat_cpu),
1142
        .spr_dat_o(spr_dat_pm),
1143
 
1144
        // Power Management Interface
1145
        .pm_cpustall(pm_cpustall_i),
1146
        .pm_clksd(pm_clksd_o),
1147
        .pm_dc_gate(pm_dc_gate_o),
1148
        .pm_ic_gate(pm_ic_gate_o),
1149
        .pm_dmmu_gate(pm_dmmu_gate_o),
1150
        .pm_immu_gate(pm_immu_gate_o),
1151
        .pm_tt_gate(pm_tt_gate_o),
1152
        .pm_cpu_gate(pm_cpu_gate_o),
1153
        .pm_wakeup(pm_wakeup_o),
1154
        .pm_lvolt(pm_lvolt_o)
1155
);
1156
 
1157
 
1158
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.