OpenCores
URL https://opencores.org/ocsvn/lateq/lateq/trunk

Subversion Repositories lateq

[/] [lateq/] [trunk/] [hdl_various_types/] [src/] [tree_adder_1st.vhd] - Blame information for rev 4

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 wzab
-------------------------------------------------------------------------------
2
-- Title      : Multiinput adder for creating hierachical adders
3
-- Project    : 
4
-------------------------------------------------------------------------------
5
-- File       : max_finder_1st.vhd
6
-- Author     : Wojciech M. Zabolotny ( wzab01<at>gmail.com )
7
-- Company    :
8
-- License    : BSD
9
-- Created    : 2013-11-01
10
-- Last update: 2015-09-24
11
-- Platform   : 
12
-- Standard   : VHDL'93/02
13
-------------------------------------------------------------------------------
14
-- Description: 
15
-------------------------------------------------------------------------------
16
-- Copyright (c) 2014 
17
-------------------------------------------------------------------------------
18
-- Revisions  :
19
-- Date        Version  Author  Description
20
-- 2013-11-01  1.0      WZab    Created
21
-------------------------------------------------------------------------------
22
 
23
library IEEE;
24
use IEEE.STD_LOGIC_1164.all;
25
 
26
use IEEE.NUMERIC_STD.all;
27
library work;
28
use work.lateq_pkg.all;
29
use work.ex1_pkg.all;
30
use work.ex1_trees_pkg.all;
31
 
32
entity tree_adder_1st is
33
  port (
34
    dins  : in  T_EX1_ADD_INS;
35
    dout  : out T_CALC_DATA_MRK;
36
    clk   : in  std_logic;
37
    rst_p : in  std_logic);
38
end tree_adder_1st;
39
 
40
architecture beh of tree_adder_1st is
41
 
42
begin
43
 
44
  process (clk, rst_p) is
45
    variable res     : T_CALC_DATA_MRK;
46
    -- pragma translate_off
47
    variable lateq_mrk : T_LATEQ_MRK;
48
  -- pragma translate_on
49
    variable first : boolean := true;
50
    variable ifirst : integer;
51
  begin  -- process
52
    if clk'event and clk = '1' then     -- rising clock edge
53
      if rst_p = '1' then               -- asynchronous reset (active low)
54
        dout <= C_CALC_DATA_MRK_INIT;
55
      else
56
        first := true;
57
        res := C_CALC_DATA_MRK_INIT;
58
        for i in 0 to EX1_NOF_INS_IN_ADD-1 loop
59
          -- Use only valid inputs
60
          if dins(i).valid  then
61
            if first then
62
              res := dins(i);
63
              first := false;
64
              ifirst := i;
65
            else
66
              -- pragma translate_off
67
              assert res.lateq_mrk = dins(i).lateq_mrk report "in entity:" & tree_adder_1st'instance_name &
68
                "Different delays between input " & integer'image(ifirst) & " and input " &
69
                integer'image(i) & ": " & integer'image(res.lateq_mrk) & "<>" & integer'image(dins(i).lateq_mrk)
70
                severity failure;
71
              -- pragma translate_on
72
              res.sum := res.sum + dins(i).sum;
73
            end if;
74
          end if;
75
        end loop;  -- i
76
        dout <= res;
77
      end if;
78
    end if;
79
  end process;
80
 
81
end beh;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.