OpenCores
URL https://opencores.org/ocsvn/layer2/layer2/trunk

Subversion Repositories layer2

[/] [layer2/] [trunk/] [vhdl/] [intercon/] [bench/] [modelsim.ini] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 idiolatrie
; Copyright 1991-2011 Mentor Graphics Corporation
2
;
3
; All Rights Reserved.
4
;
5
; THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION WHICH IS THE PROPERTY OF
6
; MENTOR GRAPHICS CORPORATION OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS.
7
;
8
 
9
[Library]
10
others = C:\Modeltech_pe_edu_10.0d\win32pe_edu/../modelsim.ini
11
;
12
; VITAL concerns:
13
;
14
; The library ieee contains (among other packages) the packages of the
15
; VITAL 2000 standard.  When a design uses VITAL 2000 exclusively, it should use
16
; the physical library ieee (recommended), or use the physical library
17
; vital2000, but not both.  The design can use logical library ieee and/or
18
; vital2000 as long as each of these maps to the same physical library, either
19
; ieee or vital2000.
20
;
21
; A design using the 1995 version of the VITAL packages, whether or not
22
; it also uses the 2000 version of the VITAL packages, must have logical library
23
; name ieee mapped to physical library vital1995.  (A design cannot use library
24
; vital1995 directly because some packages in this library use logical name ieee
25
; when referring to the other packages in the library.)  The design source
26
; should use logical name ieee when referring to any packages there except the
27
; VITAL 2000 packages.  Any VITAL 2000 present in the design must use logical
28
; name vital2000 (mapped to physical library vital2000) to refer to those
29
; packages.
30
; ieee = $MODEL_TECH/../vital1995
31
;
32
; For compatiblity with previous releases, logical library name vital2000 maps
33
; to library vital2000 (a different library than library ieee, containing the
34
; same packages).
35
; A design should not reference VITAL from both the ieee library and the
36
; vital2000 library because the vital packages are effectively different.
37
; A design that references both the ieee and vital2000 libraries must have
38
; both logical names ieee and vital2000 mapped to the same library, either of
39
; these:
40
;   $MODEL_TECH/../ieee
41
;   $MODEL_TECH/../vital2000
42
;
43
;vhdl_psl_checkers = $MODEL_TECH/../vhdl_psl_checkers       // Source files only for this release
44
;verilog_psl_checkers = $MODEL_TECH/../verilog_psl_checkers // Source files only for this release
45
;mvc_lib = $MODEL_TECH/../mvc_lib
46
 
47
[vcom]
48
; VHDL93 variable selects language version as the default.
49
; Default is VHDL-2002.
50
; Value of 0 or 1987 for VHDL-1987.
51
; Value of 1 or 1993 for VHDL-1993.
52
; Default or value of 2 or 2002 for VHDL-2002.
53
; Value of 3 or 2008 for VHDL-2008
54
VHDL93 = 2008
55
 
56
; Ignore VHDL-2008 declaration of REAL_VECTOR in package STANDARD. Default is off.
57
; ignoreStandardRealVector = 1
58
 
59
; Show source line containing error. Default is off.
60
; Show_source = 1
61
 
62
; Turn off unbound-component warnings. Default is on.
63
; Show_Warning1 = 0
64
 
65
; Turn off process-without-a-wait-statement warnings. Default is on.
66
; Show_Warning2 = 0
67
 
68
; Turn off null-range warnings. Default is on.
69
; Show_Warning3 = 0
70
 
71
; Turn off no-space-in-time-literal warnings. Default is on.
72
; Show_Warning4 = 0
73
 
74
; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on.
75
; Show_Warning5 = 0
76
 
77
; Turn off optimization for IEEE std_logic_1164 package. Default is on.
78
; Optimize_1164 = 0
79
 
80
; Turn on resolving of ambiguous function overloading in favor of the
81
; "explicit" function declaration (not the one automatically created by
82
; the compiler for each type declaration). Default is off.
83
; The .ini file has Explicit enabled so that std_logic_signed/unsigned
84
; will match the behavior of synthesis tools.
85
Explicit = 1
86
 
87
; Turn off acceleration of the VITAL packages. Default is to accelerate.
88
; NoVital = 1
89
 
90
; Turn off VITAL compliance checking. Default is checking on.
91
; NoVitalCheck = 1
92
 
93
; Ignore VITAL compliance checking errors. Default is to not ignore.
94
; IgnoreVitalErrors = 1
95
 
96
; Turn off VITAL compliance checking warnings. Default is to show warnings.
97
; Show_VitalChecksWarnings = 0
98
 
99
; Turn off PSL assertion warning messages. Default is to show warnings.
100
; Show_PslChecksWarnings = 0
101
 
102
; Enable parsing of embedded PSL assertions. Default is enabled.
103
; EmbeddedPsl = 0
104
 
105
; Keep silent about case statement static warnings.
106
; Default is to give a warning.
107
; NoCaseStaticError = 1
108
 
109
; Keep silent about warnings caused by aggregates that are not locally static.
110
; Default is to give a warning.
111
; NoOthersStaticError = 1
112
 
113
; Treat as errors:
114
;   case statement static warnings
115
;   warnings caused by aggregates that are not locally static
116
; Overrides NoCaseStaticError, NoOthersStaticError settings.
117
; PedanticErrors = 1
118
 
119
; Turn off inclusion of debugging info within design units.
120
; Default is to include debugging info.
121
; NoDebug = 1
122
 
123
; Turn off "Loading..." messages. Default is messages on.
124
; Quiet = 1
125
 
126
; Turn on some limited synthesis rule compliance checking. Checks only:
127
;    -- signals used (read) by a process must be in the sensitivity list
128
; CheckSynthesis = 1
129
 
130
; Activate optimizations on expressions that do not involve signals,
131
; waits, or function/procedure/task invocations. Default is off.
132
; ScalarOpts = 1
133
 
134
; Turns on lint-style checking.
135
; Show_Lint = 1
136
 
137
; Require the user to specify a configuration for all bindings,
138
; and do not generate a compile time default binding for the
139
; component. This will result in an elaboration error of
140
; 'component not bound' if the user fails to do so. Avoids the rare
141
; issue of a false dependency upon the unused default binding.
142
; RequireConfigForAllDefaultBinding = 1
143
 
144
; Perform default binding at compile time.
145
; Default is to do default binding at load time.
146
; BindAtCompile = 1;
147
 
148
; Inhibit range checking on subscripts of arrays. Range checking on
149
; scalars defined with subtypes is inhibited by default.
150
; NoIndexCheck = 1
151
 
152
; Inhibit range checks on all (implicit and explicit) assignments to
153
; scalar objects defined with subtypes.
154
; NoRangeCheck = 1
155
 
156
; Run the 0-in compiler on the VHDL source files
157
; Default is off.
158
; ZeroIn = 1
159
 
160
; Set the options to be passed to the 0-in compiler.
161
; Default is "".
162
; ZeroInOptions = ""
163
 
164
; Set the synthesis prefix to be honored for synthesis pragma recognition.
165
; Default is "".
166
; SynthPrefix = ""
167
 
168
; Ignore synthesis and coverage pragmas with this prefix.
169
; Default is "".
170
; IgnorePragmaPrefix = ""
171
 
172
; Turn on code coverage in VHDL design units. Default is off.
173
; Coverage = sbceft
174
 
175
; Turn off code coverage in VHDL subprograms. Default is on.
176
; CoverageSub = 0
177
 
178
; Automatically exclude VHDL case statement OTHERS choice branches.
179
; This includes OTHERS choices in selected signal assigment statements.
180
; Default is to not exclude.
181
; CoverExcludeDefault = 1
182
 
183
; Control compiler and VOPT optimizations that are allowed when
184
; code coverage is on.  Refer to the comment for this in the [vlog] area.
185
; CoverOpt = 3
186
 
187
; Turn on or off clkOpt optimization for code coverage. Default is on.
188
; CoverClkOpt = 1
189
 
190
; Turn on or off clkOpt optimization builtins for code coverage. Default is on.
191
; CoverClkOptBuiltins = 0
192
 
193
; Inform code coverage optimizations to respect VHDL 'H' and 'L'
194
; values on signals in conditions and expressions, and to not automatically
195
; convert them to '1' and '0'. Default is to not convert.
196
; CoverRespectHandL = 0
197
 
198
; Increase or decrease the maximum number of rows allowed in a UDP table
199
; implementing a VHDL condition coverage or expression coverage expression.
200
; More rows leads to a longer compile time, but more expressions covered.
201
; CoverMaxUDPRows = 192
202
 
203
; Increase or decrease the maximum number of input patterns that are present
204
; in FEC table. This leads to a longer compile time with more expressions
205
; covered with FEC metric.
206
; CoverMaxFECRows = 192
207
 
208
; Enable or disable Focused Expression Coverage analysis for conditions and
209
; expressions. Focused Expression Coverage data is provided by default when
210
; expression and/or condition coverage is active.
211
; CoverFEC = 0
212
 
213
; Enable or disable UDP Coverage analysis for conditions and expressions.
214
; UDP Coverage data is provided by default when expression and/or condition
215
; coverage is active.
216
; CoverUDP = 0
217
 
218
; Enable or disable short circuit evaluation of conditions and expressions when
219
; condition or expression coverage is active. Short circuit evaluation is enabled
220
; by default.
221
; CoverShortCircuit = 0
222
 
223
; Enable code coverage reporting of code that has been optimized away.
224
; The default is not to report.
225
; CoverReportCancelled = 1
226
 
227
; Use this directory for compiler temporary files instead of "work/_temp"
228
; CompilerTempDir = /tmp
229
 
230
; Set this to cause the compilers to force data to be committed to disk
231
; when the files are closed.
232
; SyncCompilerFiles = 1
233
 
234
; Add VHDL-AMS declarations to package STANDARD
235
; Default is not to add
236
; AmsStandard = 1
237
 
238
; Range and length checking will be performed on array indices and discrete
239
; ranges, and when violations are found within subprograms, errors will be
240
; reported. Default is to issue warnings for violations, because subprograms
241
; may not be invoked.
242
; NoDeferSubpgmCheck = 0
243
 
244
; Turn ON detection of FSMs having single bit current state variable.
245
; FsmSingle = 1
246
 
247
; Turn off reset state transitions in FSM.
248
; FsmResetTrans = 0
249
 
250
; Turn ON detection of FSM Implicit Transitions.
251
; FsmImplicitTrans = 1
252
 
253
; Controls whether or not to show immediate assertions with constant expressions
254
; in GUI/report/UCDB etc. By default, immediate assertions with constant
255
; expressions are shown in GUI/report/UCDB etc. This does not affect
256
; evaluation of immediate assertions.
257
; ShowConstantImmediateAsserts = 0
258
 
259
; Controls how VHDL basic identifiers are stored with the design unit.
260
; Does not make the language case-sensitive, effects only how declarations
261
; declared with basic identifiers have their names stored and printed
262
; (examine, etc.).
263
; Default is to preserve the case as originally depicted in the VHDL source.
264
; Value of 0 indicates to change basic identifiers to lower case.
265
; PreserveCase = 0
266
 
267
; For Configuration Declarations, controls the effect that USE clauses have
268
; on visibility inside the configuration items being configured.  If 1
269
; (the default), then use pre-10.0 behavior. If 0, then for stricter LRM-compliance
270
; extend the visibility of objects made visible through USE clauses into nested
271
; component configurations.
272
; OldVHDLConfigurationVisibility = 0
273
 
274
; Allows VHDL configuration declarations to be in a different library from
275
; the corresponding configured entity. Default is to not allow this for
276
; stricter LRM-compliance
277
; SeparateConfigLibrary = 1;
278
 
279
; Change how subprogram out parameter of type array and record are treated.
280
; If 1, always initial the out parameter to its default value.
281
; If 2, do not initialize the out parameter.
282
; The value 0 indicates use the default for the langauge version being compiled.
283
; Prior to 10.1 all langauge version did not initialize out composite parameters.
284
; 10.1 and later files compile with -2008 initialize by default
285
; InitOutCompositeParam = 0
286
 
287
NoDebug = 0
288
CheckSynthesis = 0
289
NoVitalCheck = 0
290
Optimize_1164 = 1
291
NoVital = 0
292
Quiet = 0
293
Show_source = 0
294
DisableOpt = 0
295
ZeroIn = 0
296
CoverageNoSub = 0
297
NoCoverage = 1
298
CoverCells = 0
299
CoverExcludeDefault = 0
300
CoverFEC = 1
301
CoverShortCircuit = 1
302
CoverOpt = 3
303
Show_Warning1 = 1
304
Show_Warning2 = 1
305
Show_Warning3 = 1
306
Show_Warning4 = 1
307
Show_Warning5 = 1
308
[vlog]
309
; Turn off inclusion of debugging info within design units.
310
; Default is to include debugging info.
311
; NoDebug = 1
312
 
313
; Turn on `protect compiler directive processing.
314
; Default is to ignore `protect directives.
315
; Protect = 1
316
 
317
; Turn off "Loading..." messages. Default is messages on.
318
; Quiet = 1
319
 
320
; Turn on Verilog hazard checking (order-dependent accessing of global vars).
321
; Default is off.
322
; Hazard = 1
323
 
324
; Turn on converting regular Verilog identifiers to uppercase. Allows case
325
; insensitivity for module names. Default is no conversion.
326
; UpCase = 1
327
 
328
; Activate optimizations on expressions that do not involve signals,
329
; waits, or function/procedure/task invocations. Default is off.
330
; ScalarOpts = 1
331
 
332
; Turns on lint-style checking.
333
; Show_Lint = 1
334
 
335
; Show source line containing error. Default is off.
336
; Show_source = 1
337
 
338
; Turn on bad option warning. Default is off.
339
; Show_BadOptionWarning = 1
340
 
341
; Revert back to IEEE 1364-1995 syntax, default is 0 (off).
342
; vlog95compat = 1
343
 
344
; Turn off PSL warning messages. Default is to show warnings.
345
; Show_PslChecksWarnings = 0
346
 
347
; Enable parsing of embedded PSL assertions. Default is enabled.
348
; EmbeddedPsl = 0
349
 
350
; Set the threshold for automatically identifying sparse Verilog memories.
351
; A memory with depth equal to or more than the sparse memory threshold gets
352
; marked as sparse automatically, unless specified otherwise in source code
353
; or by +nosparse commandline option of vlog or vopt.
354
; The default is 1M.  (i.e. memories with depth equal
355
; to or greater than 1M are marked as sparse)
356
; SparseMemThreshold = 1048576
357
 
358
; Run the 0-in compiler on the Verilog source files
359
; Default is off.
360
; ZeroIn = 1
361
 
362
; Set the options to be passed to the 0-in compiler.
363
; Default is "".
364
; ZeroInOptions = ""
365
 
366
; Set the synthesis prefix to be honored for synthesis pragma recognition.
367
; Default is "".
368
; SynthPrefix = ""
369
 
370
; Ignore synthesis and coverage pragmas with this prefix.
371
; Default is "".
372
; IgnorePragmaPrefix = ""
373
 
374
; Set the option to treat all files specified in a vlog invocation as a
375
; single compilation unit. The default value is set to 0 which will treat
376
; each file as a separate compilation unit as specified in the P1800 draft standard.
377
; MultiFileCompilationUnit = 1
378
 
379
; Turn on code coverage in Verilog design units. Default is off.
380
; Coverage = sbceft
381
 
382
; Automatically exclude Verilog case statement default branches.
383
; Default is to not automatically exclude defaults.
384
; CoverExcludeDefault = 1
385
 
386
; Increase or decrease the maximum number of rows allowed in a UDP table
387
; implementing a Verilog condition coverage or expression coverage expression.
388
; More rows leads to a longer compile time, but more expressions covered.
389
; CoverMaxUDPRows = 192
390
 
391
; Increase or decrease the maximum number of input patterns that are present
392
; in FEC table. This leads to a longer compile time with more expressions
393
; covered with FEC metric.
394
; CoverMaxFECRows = 192
395
 
396
; Enable or disable Focused Expression Coverage analysis for conditions and
397
; expressions. Focused Expression Coverage data is provided by default when
398
; expression and/or condition coverage is active.
399
; CoverFEC = 0
400
 
401
; Enable or disable UDP Coverage analysis for conditions and expressions.
402
; UDP Coverage data is provided by default when expression and/or condition
403
; coverage is active.
404
; CoverUDP = 0
405
 
406
; Enable or disable short circuit evaluation of conditions and expressions when
407
; condition or expression coverage is active. Short circuit evaluation is enabled
408
; by default.
409
; CoverShortCircuit = 0
410
 
411
 
412
; Turn on code coverage in VLOG `celldefine modules and modules included
413
; using vlog -v and -y. Default is off.
414
; CoverCells = 1
415
 
416
; Enable code coverage reporting of code that has been optimized away.
417
; The default is not to report.
418
; CoverReportCancelled = 1
419
 
420
; Control compiler and VOPT optimizations that are allowed when
421
; code coverage is on. This is a number from 1 to 4, with the following
422
; meanings (the default is 3):
423
;    1 -- Turn off all optimizations that affect coverage reports.
424
;    2 -- Allow optimizations that allow large performance improvements
425
;         by invoking sequential processes only when the data changes.
426
;         This may make major reductions in coverage counts.
427
;    3 -- In addition, allow optimizations that may change expressions or
428
;         remove some statements. Allow constant propagation. Allow VHDL
429
;         subprogram inlining and VHDL FF recognition.
430
;    4 -- In addition, allow optimizations that may remove major regions of
431
;         code by changing assignments to built-ins or removing unused
432
;         signals. Change Verilog gates to continuous assignments.
433
; CoverOpt = 3
434
 
435
; Specify the override for the default value of "cross_num_print_missing"
436
; option for the Cross in Covergroups. If not specified then LRM default
437
; value of 0 (zero) is used. This is a compile time option.
438
; SVCrossNumPrintMissingDefault = 0
439
 
440
; Setting following to 1 would cause creation of variables which
441
; would represent the value of Coverpoint expressions. This is used
442
; in conjunction with "SVCoverpointExprVariablePrefix" option
443
; in the modelsim.ini
444
; EnableSVCoverpointExprVariable = 0
445
 
446
; Specify the override for the prefix used in forming the variable names
447
; which represent the Coverpoint expressions. This is used in conjunction with
448
; "EnableSVCoverpointExprVariable" option of the modelsim.ini
449
; The default prefix is "expr".
450
; The variable name is
451
;    variable name => _
452
; SVCoverpointExprVariablePrefix = expr
453
 
454
; Override for the default value of the SystemVerilog covergroup,
455
; coverpoint, and cross option.goal (defined to be 100 in the LRM).
456
; NOTE: It does not override specific assignments in SystemVerilog
457
; source code. NOTE: The modelsim.ini variable "SVCovergroupGoal"
458
; in the [vsim] section can override this value.
459
; SVCovergroupGoalDefault = 100
460
 
461
; Override for the default value of the SystemVerilog covergroup,
462
; coverpoint, and cross type_option.goal (defined to be 100 in the LRM)
463
; NOTE: It does not override specific assignments in SystemVerilog
464
; source code. NOTE: The modelsim.ini variable "SVCovergroupTypeGoal"
465
; in the [vsim] section can override this value.
466
; SVCovergroupTypeGoalDefault = 100
467
 
468
; Specify the override for the default value of "strobe" option for the
469
; Covergroup Type. This is a compile time option which forces "strobe" to
470
; a user specified default value and supersedes SystemVerilog specified
471
; default value of '0'(zero). NOTE: This can be overriden by a runtime
472
; modelsim.ini variable "SVCovergroupStrobe" in the [vsim] section.
473
; SVCovergroupStrobeDefault = 0
474
 
475
; Specify the override for the default value of "merge_instances" option for
476
; the Covergroup Type. This is a compile time option which forces
477
; "merge_instances" to a user specified default value and supersedes
478
; SystemVerilog specified default value of '0'(zero).
479
; SVCovergroupMergeInstancesDefault = 0
480
 
481
; Specify the override for the default value of "per_instance" option for the
482
; Covergroup variables. This is a compile time option which forces "per_instance"
483
; to a user specified default value and supersedes SystemVerilog specified
484
; default value of '0'(zero).
485
; SVCovergroupPerInstanceDefault = 0
486
 
487
; Specify the override for the default value of "get_inst_coverage" option for the
488
; Covergroup variables. This is a compile time option which forces
489
; "get_inst_coverage" to a user specified default value and supersedes
490
; SystemVerilog specified default value of '0'(zero).
491
; SVCovergroupGetInstCoverageDefault = 0
492
 
493
;
494
; A space separated list of resource libraries that contain precompiled
495
; packages.  The behavior is identical to using the "-L" switch.
496
;
497
; LibrarySearchPath =  [ ...]
498
LibrarySearchPath = mtiAvm mtiOvm mtiUvm mtiUPF
499
 
500
; The behavior is identical to the "-mixedansiports" switch.  Default is off.
501
; MixedAnsiPorts = 1
502
 
503
; Enable SystemVerilog 3.1a $typeof() function. Default is off.
504
; EnableTypeOf = 1
505
 
506
; Only allow lower case pragmas. Default is disabled.
507
; AcceptLowerCasePragmaOnly = 1
508
 
509
; Set the maximum depth permitted for a recursive include file nesting.
510
; IncludeRecursionDepthMax = 5
511
 
512
; Turn ON detection of FSMs having single bit current state variable.
513
; FsmSingle = 1
514
 
515
; Turn off reset state transitions in FSM.
516
; FsmResetTrans = 0
517
 
518
; Turn off detections of FSMs having x-assignment.
519
; FsmXAssign = 0
520
 
521
; Turn ON detection of FSM Implicit Transitions.
522
; FsmImplicitTrans = 1
523
 
524
; List of file suffixes which will be read as SystemVerilog.  White space
525
; in extensions can be specified with a back-slash: "\ ".  Back-slashes
526
; can be specified with two consecutive back-slashes: "\\";
527
; SVFileExtensions = sv svp svh
528
 
529
; This setting is the same as the vlog -sv command line switch.
530
; Enables SystemVerilog features and keywords when true (1).
531
; When false (0), the rules of IEEE Std 1364-2001 are followed and
532
; SystemVerilog keywords are ignored.
533
; Svlog = 0
534
 
535
; Prints attribute placed upon SV packages during package import
536
; when true (1).  The attribute will be ignored when this
537
; entry is false (0). The attribute name is "package_load_message".
538
; The value of this attribute is a string literal.
539
; Default is true (1).
540
; PrintSVPackageLoadingAttribute = 1
541
 
542
; Do not show immediate assertions with constant expressions in
543
; GUI/reports/UCDB etc. By default immediate assertions with constant
544
; expressions are shown in GUI/reports/UCDB etc. This does not affect
545
; evaluation of immediate assertions.
546
; ShowConstantImmediateAsserts = 0
547
 
548
; Controls if untyped parameters that are initialized with values greater
549
; than 2147483647 are mapped to generics of type INTEGER or ignored.
550
; If mapped to VHDL Integers, values greater than 2147483647
551
; are mapped to negative values.
552
; Default is to map these parameter to generic of type INTEGER
553
; ForceUnsignedToVHDLInteger = 1
554
 
555
; Enable AMS wreal (wired real) extensions.  Default is 0.
556
; WrealType = 1
557
 
558
vlog95compat = 0
559
Vlog01Compat = 0
560
Svlog = 0
561
CoverCells = 0
562
CoverExcludeDefault = 0
563
CoverFEC = 1
564
CoverShortCircuit = 1
565
CoverOpt = 3
566
OptionFile = C:/Mathias/xrisc/intercon/bench/vlog.opt
567
Quiet = 0
568
Show_source = 0
569
Protect = 0
570
NoDebug = 0
571
Hazard = 0
572
UpCase = 0
573
DisableOpt = 0
574
ZeroIn = 0
575
[sccom]
576
; Enable use of SCV include files and library.  Default is off.
577
; UseScv = 1
578
 
579
; Add C++ compiler options to the sccom command line by using this variable.
580
; CppOptions = -g
581
 
582
; Use custom C++ compiler located at this path rather than the default path.
583
; The path should point directly at a compiler executable.
584
; CppPath = /usr/bin/g++
585
 
586
; Enable verbose messages from sccom.  Default is off.
587
; SccomVerbose = 1
588
 
589
; sccom logfile.  Default is no logfile.
590
; SccomLogfile = sccom.log
591
 
592
; Enable use of SC_MS include files and library.  Default is off.
593
; UseScMs = 1
594
 
595
[vopt]
596
; Turn on code coverage in vopt.  Default is off.
597
; Coverage = sbceft
598
 
599
; Control compiler optimizations that are allowed when
600
; code coverage is on.  Refer to the comment for this in the [vlog] area.
601
; CoverOpt = 3
602
 
603
; Increase or decrease the maximum number of rows allowed in a UDP table
604
; implementing a vopt condition coverage or expression coverage expression.
605
; More rows leads to a longer compile time, but more expressions covered.
606
; CoverMaxUDPRows = 192
607
 
608
; Increase or decrease the maximum number of input patterns that are present
609
; in FEC table. This leads to a longer compile time with more expressions
610
; covered with FEC metric.
611
; CoverMaxFECRows = 192
612
 
613
; Enable code coverage reporting of code that has been optimized away.
614
; The default is not to report.
615
; CoverReportCancelled = 1
616
 
617
; Do not show immediate assertions with constant expressions in
618
; GUI/reports/UCDB etc. By default immediate assertions with constant
619
; expressions are shown in GUI/reports/UCDB etc. This does not affect
620
; evaluation of immediate assertions.
621
; ShowConstantImmediateAsserts = 0
622
 
623
; Set the maximum number of iterations permitted for a generate loop.
624
; Restricting this permits the implementation to recognize infinite
625
; generate loops.
626
; GenerateLoopIterationMax = 100000
627
 
628
; Set the maximum depth permitted for a recursive generate instantiation.
629
; Restricting this permits the implementation to recognize infinite
630
; recursions.
631
; GenerateRecursionDepthMax = 200
632
 
633
 
634
[vsim]
635
; vopt flow
636
; Set to turn on automatic optimization of a design.
637
; Default is on
638
VoptFlow = 1
639
 
640
; Simulator resolution
641
; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100.
642
Resolution = ns
643
 
644
; Disable certain code coverage exclusions automatically.
645
; Assertions and FSM are exluded from the code coverage by default
646
; Set AutoExclusionsDisable = fsm to enable code coverage for fsm
647
; Set AutoExclusionsDisable = assertions to enable code coverage for assertions
648
; Set AutoExclusionsDisable = all to enable code coverage for all the automatic exclusions
649
; Or specify comma or space separated list
650
;AutoExclusionsDisable = fsm,assertions
651
 
652
; User time unit for run commands
653
; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the
654
; unit specified for Resolution. For example, if Resolution is 100ps,
655
; then UserTimeUnit defaults to ps.
656
; Should generally be set to default.
657
UserTimeUnit = default
658
 
659
; Default run length
660
RunLength = 100
661
 
662
; Maximum iterations that can be run without advancing simulation time
663
IterationLimit = 5000
664
 
665
; Control PSL and Verilog Assume directives during simulation
666
; Set SimulateAssumeDirectives = 0 to disable assume being simulated as asserts
667
; Set SimulateAssumeDirectives = 1 to enable assume simulation as asserts
668
; SimulateAssumeDirectives = 1
669
 
670
; Control the simulation of PSL and SVA
671
; These switches can be overridden by the vsim command line switches:
672
;    -psl, -nopsl, -sva, -nosva.
673
; Set SimulatePSL = 0 to disable PSL simulation
674
; Set SimulatePSL = 1 to enable PSL simulation (default)
675
; SimulatePSL = 1
676
; Set SimulateSVA = 0 to disable SVA simulation
677
; Set SimulateSVA = 1 to enable concurrent SVA simulation (default)
678
; SimulateSVA = 1
679
 
680
; Directives to license manager can be set either as single value or as
681
; space separated multi-values:
682
; vhdl          Immediately reserve a VHDL license
683
; vlog          Immediately reserve a Verilog license
684
; plus          Immediately reserve a VHDL and Verilog license
685
; noqueue       Do not wait in the license queue when a license is not available
686
; viewsim       Try for viewer license but accept simulator license(s) instead
687
;               of queuing for viewer license (PE ONLY)
688
; noviewer      Disable checkout of msimviewer and vsim-viewer license
689
;               features (PE ONLY)
690
; noslvhdl      Disable checkout of qhsimvh and vsim license features
691
; noslvlog      Disable checkout of qhsimvl and vsimvlog license features
692
; nomix         Disable checkout of msimhdlmix and hdlmix license features
693
; nolnl         Disable checkout of msimhdlsim and hdlsim license features
694
; mixedonly     Disable checkout of qhsimvh,qhsimvl,vsim,vsimvlog license
695
;               features
696
; lnlonly       Disable checkout of qhsimvh,qhsimvl,vsim,vsimvlog,msimhdlmix,
697
;               hdlmix license features
698
; Single value:
699
; License = plus
700
; Multi-value:
701
; License = noqueue plus
702
 
703
; Severity level of a VHDL assertion message or of a SystemVerilog immediate assertion
704
; which will cause a running simulation to stop.
705
; VHDL assertions and SystemVerilog immediate assertions that occur with the
706
; given severity or higher will cause a running simulation to stop.
707
; This value is ignored during elaboration.
708
; 0 = Note  1 = Warning  2 = Error  3 = Failure  4 = Fatal
709
BreakOnAssertion = 3
710
 
711
; Message Format conversion specifications:
712
; %S - Severity Level of message/assertion
713
; %R - Text of message
714
; %T - Time of message
715
; %D - Delta value (iteration number) of Time
716
; %K - Kind of path: Instance/Region/Signal/Process/Foreign Process/Unknown/Protected
717
; %i - Instance/Region/Signal pathname with Process name (if available)
718
; %I - shorthand for one of these:
719
;      "  %K: %i"
720
;      "  %K: %i File: %F" (when path is not Process or Signal)
721
;      except that the %i in this case does not report the Process name
722
; %O - Process name
723
; %P - Instance/Region path without leaf process
724
; %F - File name
725
; %L - Line number; if assertion message, then line number of assertion or, if
726
;      assertion is in a subprogram, line from which the call is made
727
; %u - Design unit name in form library.primary
728
; %U - Design unit name in form library.primary(secondary)
729
; %% - The '%' character itself
730
;
731
; If specific format for Severity Level is defined, use that format.
732
; Else, for a message that occurs during elaboration:
733
;   -- Failure/Fatal message in VHDL region that is not a Process, and in
734
;      certain non-VHDL regions, uses MessageFormatBreakLine;
735
;   -- Failure/Fatal message otherwise uses MessageFormatBreak;
736
;   -- Note/Warning/Error message uses MessageFormat.
737
; Else, for a message that occurs during runtime and triggers a breakpoint because
738
; of the BreakOnAssertion setting:
739
;   -- if in a VHDL region that is not a Process, uses MessageFormatBreakLine;
740
;   -- otherwise uses MessageFormatBreak.
741
; Else (a runtime message that does not trigger a breakpoint) uses MessageFormat.
742
;
743
; MessageFormatNote      = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
744
; MessageFormatWarning   = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
745
; MessageFormatError     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
746
; MessageFormatFail      = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
747
; MessageFormatFatal     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
748
; MessageFormatBreakLine = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F Line: %L\n"
749
; MessageFormatBreak     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
750
; MessageFormat          = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
751
 
752
; Error File - alternate file for storing error messages
753
; ErrorFile = error.log
754
 
755
; Simulation Breakpoint messages
756
; This flag controls the display of function names when reporting the location
757
; where the simulator stops because of a breakpoint or fatal error.
758
; Example with function name:    # Break in Process ctr at counter.vhd line 44
759
; Example without function name: # Break at counter.vhd line 44
760
; Default value is 1.
761
ShowFunctions = 1
762
 
763
; Default radix for all windows and commands.
764
; Set to symbolic, ascii, binary, octal, decimal, hex, unsigned
765
DefaultRadix = symbolic
766
 
767
; VSIM Startup command
768
; Startup = do startup.do
769
 
770
; VSIM Shutdown file
771
; Filename to save u/i formats and configurations.
772
; ShutdownFile = restart.do
773
; To explicitly disable auto save:
774
; ShutdownFile = --disable-auto-save
775
 
776
; File for saving command transcript
777
TranscriptFile = transcript
778
 
779
; File for saving command history
780
; CommandHistory = cmdhist.log
781
 
782
; Specify whether paths in simulator commands should be described
783
; in VHDL or Verilog format.
784
; For VHDL, PathSeparator = /
785
; For Verilog, PathSeparator = .
786
; Must not be the same character as DatasetSeparator.
787
PathSeparator = /
788
 
789
; Specify the dataset separator for fully rooted contexts.
790
; The default is ':'. For example: sim:/top
791
; Must not be the same character as PathSeparator.
792
DatasetSeparator = :
793
 
794
; Specify a unique path separator for the Signal Spy set of functions.
795
; The default will be to use the PathSeparator variable.
796
; Must not be the same character as DatasetSeparator.
797
; SignalSpyPathSeparator = /
798
 
799
; Used to control parsing of HDL identifiers input to the tool.
800
; This includes CLI commands, vsim/vopt/vlog/vcom options,
801
; string arguments to FLI/VPI/DPI calls, etc.
802
; If set to 1, accept either Verilog escaped Id syntax or
803
; VHDL extended id syntax, regardless of source language.
804
; If set to 0, the syntax of the source language must be used.
805
; Each identifier in a hierarchical name may need different syntax,
806
; e.g. "/top/\vhdl*ext*id\/middle/\vlog*ext*id /bottom" or
807
;       "top.\vhdl*ext*id\.middle.\vlog*ext*id .bottom"
808
; GenerousIdentifierParsing = 1
809
 
810
; Disable VHDL assertion messages
811
; IgnoreNote = 1
812
; IgnoreWarning = 1
813
; IgnoreError = 1
814
; IgnoreFailure = 1
815
 
816
; Disable SystemVerilog assertion messages
817
; IgnoreSVAInfo = 1
818
; IgnoreSVAWarning = 1
819
; IgnoreSVAError = 1
820
; IgnoreSVAFatal = 1
821
 
822
; Do not print any additional information from Severity System tasks.
823
; Only the message provided by the user is printed along with severity
824
; information.
825
; SVAPrintOnlyUserMessage = 1;
826
 
827
; Default force kind. May be freeze, drive, deposit, or default
828
; or in other terms, fixed, wired, or charged.
829
; A value of "default" will use the signal kind to determine the
830
; force kind, drive for resolved signals, freeze for unresolved signals
831
; DefaultForceKind = freeze
832
 
833
; Control the iteration of events when a VHDL signal is forced to a value
834
; This flag can be set to honour the signal update event in next iteration,
835
; the default is to update and propagate in the same iteration.
836
; ForceSigNextIter = 1
837
 
838
 
839
; If zero, open files when elaborated; otherwise, open files on
840
; first read or write.  Default is 0.
841
; DelayFileOpen = 1
842
 
843
; Control VHDL files opened for write.
844
;   0 = Buffered, 1 = Unbuffered
845
UnbufferedOutput = 0
846
 
847
; Control the number of VHDL files open concurrently.
848
; This number should always be less than the current ulimit
849
; setting for max file descriptors.
850
;   0 = unlimited
851
ConcurrentFileLimit = 40
852
 
853
; Control the number of hierarchical regions displayed as
854
; part of a signal name shown in the Wave window.
855
; A value of zero tells VSIM to display the full name.
856
; The default is 0.
857
; WaveSignalNameWidth = 0
858
 
859
; Turn off warnings when changing VHDL constants and generics
860
; Default is 1 to generate warning messages
861
; WarnConstantChange = 0
862
 
863
; Turn off warnings from accelerated versions of the std_logic_arith,
864
; std_logic_unsigned, and std_logic_signed packages.
865
; StdArithNoWarnings = 1
866
 
867
; Turn off warnings from accelerated versions of the IEEE numeric_std
868
; and numeric_bit packages.
869
; NumericStdNoWarnings = 1
870
 
871
; Use old-style (pre-6.6) VHDL FOR generate statement iteration names
872
; in the design hierarchy.
873
; This style is controlled by the value of the GenerateFormat
874
; value described next.  Default is to use new-style names, which
875
; comprise the generate statement label, '(', the value of the generate
876
; parameter, and a closing ')'.
877
; Uncomment this to use old-style names.
878
; OldVhdlForGenNames = 1
879
 
880
; Enable changes in VHDL elaboration to allow for Variable Logging
881
; This trades off simulation performance for the ability to log variables
882
; efficiently.  By default this is disable for maximum simulation performance
883
; VhdlVariableLogging = 1
884
 
885
; Control the format of the old-style VHDL FOR generate statement region
886
; name for each iteration.  Do not quote it.
887
; The format string here must contain the conversion codes %s and %d,
888
; in that order, and no other conversion codes.  The %s represents
889
; the generate statement label; the %d represents the generate parameter value
890
; at a particular iteration (this is the position number if the generate parameter
891
; is of an enumeration type).  Embedded whitespace is allowed (but discouraged);
892
; leading and trailing whitespace is ignored.
893
; Application of the format must result in a unique region name over all
894
; loop iterations for a particular immediately enclosing scope so that name
895
; lookup can function properly.  The default is %s__%d.
896
; GenerateFormat = %s__%d
897
 
898
; Specify whether checkpoint files should be compressed.
899
; The default is 1 (compressed).
900
; CheckpointCompressMode = 0
901
 
902
; Specify gcc compiler used in the compilation of automatically generated DPI exportwrapper.
903
; Use custom gcc compiler located at this path rather than the default path.
904
; The path should point directly at a compiler executable.
905
; DpiCppPath = /bin/gcc
906
 
907
; Specify whether to enable SystemVerilog DPI "out-of-the-blue" calls.
908
; The term "out-of-the-blue" refers to SystemVerilog export function calls
909
; made from C functions that don't have the proper context setup
910
; (as is the case when running under "DPI-C" import functions).
911
; When this is enabled, one can call a DPI export function
912
; (but not task) from any C code.
913
; the setting of this variable can be one of the following values:
914
; 0 : dpioutoftheblue call is disabled (default)
915
; 1 : dpioutoftheblue call is enabled, but export call debug support is not available.
916
; 2 : dpioutoftheblue call is enabled, and limited export call debug support is available.
917
; DpiOutOfTheBlue = 1
918
 
919
; Specify whether continuous assignments are run before other normal priority
920
; processes scheduled in the same iteration. This event ordering minimizes race
921
; differences between optimized and non-optimized designs, and is the default
922
; behavior beginning with the 6.5 release. For pre-6.5 event ordering, set
923
; ImmediateContinuousAssign to 0.
924
; The default is 1 (enabled).
925
; ImmediateContinuousAssign = 0
926
 
927
; List of dynamically loaded objects for Verilog PLI applications
928
; Veriuser = veriuser.sl
929
 
930
; Which default VPI object model should the tool conform to?
931
; The 1364 modes are Verilog-only, for backwards compatibility with older
932
; libraries, and SystemVerilog objects are not available in these modes.
933
;
934
; In the absence of a user-specified default, the tool default is the
935
; latest available LRM behavior.
936
; Options for PliCompatDefault are:
937
;  VPI_COMPATIBILITY_VERSION_1364v1995
938
;  VPI_COMPATIBILITY_VERSION_1364v2001
939
;  VPI_COMPATIBILITY_VERSION_1364v2005
940
;  VPI_COMPATIBILITY_VERSION_1800v2005
941
;  VPI_COMPATIBILITY_VERSION_1800v2008
942
;
943
; Synonyms for each string are also recognized:
944
;  VPI_COMPATIBILITY_VERSION_1364v1995 (1995, 95, 1364v1995, 1364V1995, VL1995)
945
;  VPI_COMPATIBILITY_VERSION_1364v2001 (2001, 01, 1364v2001, 1364V2001, VL2001)
946
;  VPI_COMPATIBILITY_VERSION_1364v2005 (1364v2005, 1364V2005, VL2005)
947
;  VPI_COMPATIBILITY_VERSION_1800v2005 (2005, 05, 1800v2005, 1800V2005, SV2005)
948
;  VPI_COMPATIBILITY_VERSION_1800v2008 (2008, 08, 1800v2008, 1800V2008, SV2008)
949
 
950
 
951
; PliCompatDefault = VPI_COMPATIBILITY_VERSION_1800v2005
952
 
953
; Specify default options for the restart command. Options can be one
954
; or more of: -force -nobreakpoint -nolist -nolog -nowave -noassertions
955
; DefaultRestartOptions = -force
956
 
957
; Turn on (1) or off (0) WLF file compression.
958
; The default is 1 (compress WLF file).
959
; WLFCompress = 0
960
 
961
; Specify whether to save all design hierarchy (1) in the WLF file
962
; or only regions containing logged signals (0).
963
; The default is 0 (save only regions with logged signals).
964
; WLFSaveAllRegions = 1
965
 
966
; WLF file time limit.  Limit WLF file by time, as closely as possible,
967
; to the specified amount of simulation time.  When the limit is exceeded
968
; the earliest times get truncated from the file.
969
; If both time and size limits are specified the most restrictive is used.
970
; UserTimeUnits are used if time units are not specified.
971
; The default is 0 (no limit).  Example: WLFTimeLimit = {100 ms}
972
; WLFTimeLimit = 0
973
 
974
; WLF file size limit.  Limit WLF file size, as closely as possible,
975
; to the specified number of megabytes.  If both time and size limits
976
; are specified then the most restrictive is used.
977
; The default is 0 (no limit).
978
; WLFSizeLimit = 1000
979
 
980
; Specify whether or not a WLF file should be deleted when the
981
; simulation ends.  A value of 1 will cause the WLF file to be deleted.
982
; The default is 0 (do not delete WLF file when simulation ends).
983
; WLFDeleteOnQuit = 1
984
 
985
; Specify whether or not a WLF file should be optimized during
986
; simulation.  If set to 0, the WLF file will not be optimized.
987
; The default is 1, optimize the WLF file.
988
; WLFOptimize = 0
989
 
990
; Specify the name of the WLF file.
991
; The default is vsim.wlf
992
; WLFFilename = vsim.wlf
993
 
994
; Specify whether to lock the WLF file.
995
; Locking the file prevents other invocations of ModelSim/Questa tools from
996
; inadvertently overwriting the WLF file.
997
; The default is 1, lock the WLF file.
998
; WLFFileLock = 0
999
 
1000
; Specify the WLF reader cache size limit for each open WLF file.
1001
; The size is giving in megabytes.  A value of 0 turns off the
1002
; WLF cache.
1003
; WLFSimCacheSize allows a different cache size to be set for
1004
; simulation WLF file independent of post-simulation WLF file
1005
; viewing.  If WLFSimCacheSize is not set it defaults to the
1006
; WLFCacheSize setting.
1007
; The default WLFCacheSize setting is enabled to 256M per open WLF file.
1008
; WLFCacheSize = 2000
1009
; WLFSimCacheSize = 500
1010
 
1011
; Specify the WLF file event collapse mode.
1012
; 0 = Preserve all events and event order. (same as -wlfnocollapse)
1013
; 1 = Only record values of logged objects at the end of a simulator iteration.
1014
;     (same as -wlfcollapsedelta)
1015
; 2 = Only record values of logged objects at the end of a simulator time step.
1016
;     (same as -wlfcollapsetime)
1017
; The default is 1.
1018
; WLFCollapseMode = 0
1019
 
1020
; Specify whether WLF file logging can use threads on multi-processor machines
1021
; if 0, no threads will be used, if 1, threads will be used if the system has
1022
; more than one processor
1023
; WLFUseThreads = 1
1024
 
1025
; Turn on/off undebuggable SystemC type warnings. Default is on.
1026
; ShowUndebuggableScTypeWarning = 0
1027
 
1028
; Turn on/off unassociated SystemC name warnings. Default is off.
1029
; ShowUnassociatedScNameWarning = 1
1030
 
1031
; Turn on/off SystemC IEEE 1666 deprecation warnings. Default is off.
1032
; ScShowIeeeDeprecationWarnings = 1
1033
 
1034
; Turn on/off the check for multiple drivers on a SystemC sc_signal. Default is off.
1035
; ScEnableScSignalWriteCheck = 1
1036
 
1037
; Set SystemC default time unit.
1038
; Set to fs, ps, ns, us, ms, or sec with optional
1039
; prefix of 1, 10, or 100.  The default is 1 ns.
1040
; The ScTimeUnit value is honored if it is coarser than Resolution.
1041
; If ScTimeUnit is finer than Resolution, it is set to the value
1042
; of Resolution. For example, if Resolution is 100ps and ScTimeUnit is ns,
1043
; then the default time unit will be 1 ns.  However if Resolution
1044
; is 10 ns and ScTimeUnit is ns, then the default time unit will be 10 ns.
1045
ScTimeUnit = ns
1046
 
1047
; Set SystemC sc_main stack size. The stack size is set as an integer
1048
; number followed by the unit which can be Kb(Kilo-byte), Mb(Mega-byte) or
1049
; Gb(Giga-byte). Default is 10 Mb. The stack size for sc_main depends
1050
; on the amount of data on the sc_main() stack and the memory required
1051
; to succesfully execute the longest function call chain of sc_main().
1052
ScMainStackSize = 10 Mb
1053
 
1054
; Turn on/off execution of remainder of sc_main upon quitting the current
1055
; simulation session. If the cumulative length of sc_main() in terms of
1056
; simulation time units is less than the length of the current simulation
1057
; run upon quit or restart, sc_main() will be in the middle of execution.
1058
; This switch gives the option to execute the remainder of sc_main upon
1059
; quitting simulation. The drawback of not running sc_main till the end
1060
; is memory leaks for objects created by sc_main. If on, the remainder of
1061
; sc_main will be executed ignoring all delays. This may cause the simulator
1062
; to crash if the code in sc_main is dependent on some simulation state.
1063
; Default is on.
1064
ScMainFinishOnQuit = 1
1065
 
1066
; Set the SCV relationship name that will be used to identify phase
1067
; relations.  If the name given to a transactor relation matches this
1068
; name, the transactions involved will be treated as phase transactions
1069
ScvPhaseRelationName = mti_phase
1070
 
1071
; Customize the vsim kernel shutdown behavior at the end of the simulation.
1072
; Some common causes of the end of simulation are $finish (implicit or explicit),
1073
; sc_stop(), tf_dofinish(), and assertion failures.
1074
; This should be set to "ask", "exit", or "stop". The default is "ask".
1075
; "ask"   -- In batch mode, the vsim kernel will abruptly exit.
1076
;            In GUI mode, a dialog box will pop up and ask for user confirmation
1077
;            whether or not to quit the simulation.
1078
; "stop"  -- Cause the simulation to stay loaded in memory. This can make some
1079
;            post-simulation tasks easier.
1080
; "exit"  -- The simulation will abruptly exit without asking for any confirmation.
1081
; "final" -- Run SystemVerilog final blocks then behave as "stop".
1082
; Note: This variable can be overridden with the vsim "-onfinish" command line switch.
1083
OnFinish = ask
1084
 
1085
; Print pending deferred assertion messages.
1086
; Deferred assertion messages may be scheduled after the $finish in the same
1087
; time step. Deferred assertions scheduled to print after the $finish are
1088
; printed before exiting with severity level NOTE since it's not known whether
1089
; the assertion is still valid due to being printed in the active region
1090
; instead of the reactive region where they are normally printed.
1091
; OnFinishPendingAssert = 1;
1092
 
1093
; Print "simstats" result
1094
; 0 == do not print simstats
1095
; 1 == print at end of simulation
1096
; 2 == print at end of run
1097
; 3 == print at end of run and end of simulation
1098
; default == 0
1099
; PrintSimStats = 1
1100
 
1101
 
1102
; Assertion File - alternate file for storing VHDL/PSL/Verilog assertion messages
1103
; AssertFile = assert.log
1104
 
1105
; Enable assertion counts. Default is off.
1106
; AssertionCover = 1
1107
 
1108
; Run simulator in assertion debug mode. Default is off.
1109
; AssertionDebug = 1
1110
 
1111
; Turn on/off PSL/SVA/VHDL assertion enable. Default is on.
1112
; AssertionEnable = 0
1113
 
1114
; Set PSL/SVA/VHDL concurrent assertion fail limit. Default is -1.
1115
; Any positive integer, -1 for infinity.
1116
; AssertionLimit = 1
1117
 
1118
; Turn on/off concurrent assertion pass log. Default is off.
1119
; Assertion pass logging is only enabled when assertion is browseable
1120
; and assertion debug is enabled.
1121
; AssertionPassLog = 1
1122
 
1123
; Turn on/off PSL concurrent assertion fail log. Default is on.
1124
; The flag does not affect SVA
1125
; AssertionFailLog = 0
1126
 
1127
; Turn on/off SVA concurrent assertion local var printing in -assertdebug mode.  Default is on.
1128
; AssertionFailLocalVarLog = 0
1129
 
1130
; Set action type for PSL/SVA concurrent assertion fail action. Default is continue.
1131
; 0 = Continue  1 = Break  2 = Exit
1132
; AssertionFailAction = 1
1133
 
1134
; Enable the active thread monitor in the waveform display when assertion debug is enabled.
1135
; AssertionActiveThreadMonitor = 1
1136
 
1137
; Control how many waveform rows will be used for displaying the active threads.  Default is 5.
1138
; AssertionActiveThreadMonitorLimit = 5
1139
 
1140
; Assertion thread limit after which assertion would be killed/switched off.
1141
; The default is -1 (unlimited). If the number of threads for an assertion go
1142
; beyond this limit, the assertion would be either switched off or killed. This
1143
; limit applies to only assert directives.
1144
;AssertionThreadLimit = -1
1145
 
1146
; Action to be taken once the assertion thread limit is reached. Default
1147
; is kill. It can have a value of off or kill. In case of kill, all the existing
1148
; threads are terminated and no new attempts are started. In case of off, the
1149
; existing attempts keep on evaluating but no new attempts are started. This
1150
; variable applies to only assert directives.
1151
;AssertionThreadLimitAction = kill
1152
 
1153
; Cover thread limit after which cover would be killed/switched off.
1154
; The default is -1 (unlimited). If the number of threads for a cover go
1155
; beyond this limit, the cover would be either switched off or killed. This
1156
; limit applies to only cover directives.
1157
;CoverThreadLimit = -1
1158
 
1159
; Action to be taken once the cover thread limit is reached. Default
1160
; is kill. It can have a value of off or kill. In case of kill, all the existing
1161
; threads are terminated and no new attempts are started. In case of off, the
1162
; existing attempts keep on evaluating but no new attempts are started. This
1163
; variable applies to only cover directives.
1164
;CoverThreadLimitAction = kill
1165
 
1166
 
1167
; By default immediate assertions do not participate in Assertion Coverage calculations
1168
; unless they are executed.  This switch causes all immediate assertions in the design
1169
; to participate in Assertion Coverage calculations, whether attempted or not.
1170
; UnattemptedImmediateAssertions = 0
1171
 
1172
; By default immediate covers participate in Coverage calculations
1173
; whether they are attempted or not. This switch causes all unattempted
1174
; immediate covers in the design to stop participating in Coverage
1175
; calculations.
1176
; UnattemptedImmediateCovers = 0
1177
 
1178
; By default pass action block is not executed for assertions on vacuous
1179
; success. The following variable is provided to enable execution of
1180
; pass action block on vacuous success. The following variable is only effective
1181
; if the user does not disable pass action block execution by using either
1182
; system tasks or CLI. Also there is a performance penalty for enabling
1183
; the following variable.
1184
;AssertionEnableVacuousPassActionBlock = 1
1185
 
1186
; As per strict 1850-2005 PSL LRM, an always property can either pass
1187
; or fail. However, by default, Questa reports multiple passes and
1188
; multiple fails on top always/never property (always/never operator
1189
; is the top operator under Verification Directive). The reason
1190
; being that Questa reports passes and fails on per attempt of the
1191
; top always/never property. Use the following flag to instruct
1192
; Questa to strictly follow LRM. With this flag, all assert/never
1193
; directives will start an attempt once at start of simulation.
1194
; The attempt can either fail, match or match vacuously.
1195
; For e.g. if always is the top operator under assert, the always will
1196
; keep on checking the property at every clock. If the property under
1197
; always fails, the directive will be considered failed and no more
1198
; checking will be done for that directive. A top always property,
1199
; if it does not fail, will show a pass at end of simulation.
1200
; The default value is '0' (i.e. zero is off). For example:
1201
; PslOneAttempt = 1
1202
 
1203
; Specify the number of clock ticks to represent infinite clock ticks.
1204
; This affects eventually!, until! and until_!. If at End of Simulation
1205
; (EOS) an active strong-property has not clocked this number of
1206
; clock ticks then neither pass or fail (vacuous match) is returned
1207
; else respective fail/pass is returned. The default value is '0' (zero)
1208
; which effectively does not check for clock tick condition. For example:
1209
; PslInfinityThreshold = 5000
1210
 
1211
; Control how many thread start times will be preserved for ATV viewing for a given assertion
1212
; instance.  Default is -1 (ALL).
1213
; ATVStartTimeKeepCount = -1
1214
 
1215
; Turn on/off code coverage
1216
; CodeCoverage = 0
1217
 
1218
; Count all code coverage condition and expression truth table rows that match.
1219
; CoverCountAll = 1
1220
 
1221
; Turn off automatic inclusion of VHDL integers in toggle coverage. Default
1222
; is to include them.
1223
; ToggleNoIntegers = 1
1224
 
1225
; Set the maximum number of values that are collected for toggle coverage of
1226
; VHDL integers. Default is 100;
1227
; ToggleMaxIntValues = 100
1228
 
1229
; Set the maximum number of values that are collected for toggle coverage of
1230
; Verilog real. Default is 100;
1231
; ToggleMaxRealValues = 100
1232
 
1233
; Turn on automatic inclusion of Verilog integers in toggle coverage, except
1234
; for enumeration types. Default is to include them.
1235
; ToggleVlogIntegers = 0
1236
 
1237
; Turn on automatic inclusion of Verilog real type in toggle coverage, except
1238
; for shortreal types. Default is to not include them.
1239
; ToggleVlogReal = 1
1240
 
1241
; Turn on automatic inclusion of Verilog fixed-size unpacked arrays, VHDL multi-d arrays
1242
; and VHDL arrays-of-arrays in toggle coverage.
1243
; Default is to not include them.
1244
; ToggleFixedSizeArray = 1
1245
 
1246
; Increase or decrease the maximum size of Verilog unpacked fixed-size arrays,
1247
; VHDL multi-d arrays and VHDL arrays-of-arrays that are included for toggle coverage.
1248
; This leads to a longer simulation time with bigger arrays covered with toggle coverage.
1249
; Default is 1024.
1250
; ToggleMaxFixedSizeArray = 1024
1251
 
1252
; Treat Verilog multi-dimensional packed vectors and packed structures as equivalently sized
1253
; one-dimensional packed vectors for toggle coverage. Default is 0.
1254
; TogglePackedAsVec = 0
1255
 
1256
; Treat Verilog enumerated types as equivalently sized one-dimensional packed vectors for
1257
; toggle coverage. Default is 0.
1258
; ToggleVlogEnumBits = 0
1259
 
1260
; Limit the widths of registers automatically tracked for toggle coverage. Default is 128.
1261
; For unlimited width, set to 0.
1262
; ToggleWidthLimit = 128
1263
 
1264
; Limit the counts that are tracked for toggle coverage. When all edges for a bit have
1265
; reached this count, further activity on the bit is ignored. Default is 1.
1266
; For unlimited counts, set to 0.
1267
; ToggleCountLimit = 1
1268
 
1269
; Change the mode of extended toggle coverage. Default is 3. Valid modes are 1, 2 and 3.
1270
; Following is the toggle coverage calculation criteria based on extended toggle mode:
1271
; Mode 1: 0L->1H & 1H->0L & any one 'Z' transition (to/from 'Z').
1272
; Mode 2: 0L->1H & 1H->0L & one transition to 'Z' & one transition from 'Z'.
1273
; Mode 3: 0L->1H & 1H->0L & all 'Z' transitions.
1274
; ExtendedToggleMode = 3
1275
 
1276
; Enable toggle statistics collection only for ports. Default is 0.
1277
; TogglePortsOnly = 1
1278
 
1279
; Turn on/off all PSL/SVA cover directive enables.  Default is on.
1280
; CoverEnable = 0
1281
 
1282
; Turn on/off PSL/SVA cover log.  Default is off "0".
1283
; CoverLog = 1
1284
 
1285
; Set "at_least" value for all PSL/SVA cover directives.  Default is 1.
1286
; CoverAtLeast = 2
1287
 
1288
; Set "limit" value for all PSL/SVA cover directives.  Default is -1.
1289
; Any positive integer, -1 for infinity.
1290
; CoverLimit = 1
1291
 
1292
; Specify the coverage database filename.
1293
; Default is "" (i.e. database is NOT automatically saved on close).
1294
; UCDBFilename = vsim.ucdb
1295
 
1296
; Specify the maximum limit for the number of Cross (bin) products reported
1297
; in XML and UCDB report against a Cross. A warning is issued if the limit
1298
; is crossed. Default is zero. vsim switch -cvgmaxrptrhscross can override this
1299
; setting.
1300
; MaxReportRhsSVCrossProducts = 1000
1301
 
1302
; Specify the override for the "auto_bin_max" option for the Covergroups.
1303
; If not specified then value from Covergroup "option" is used.
1304
; SVCoverpointAutoBinMax = 64
1305
 
1306
; Specify the override for the value of "cross_num_print_missing"
1307
; option for the Cross in Covergroups. If not specified then value
1308
; specified in the "option.cross_num_print_missing" is used. This
1309
; is a runtime option. NOTE: This overrides any "cross_num_print_missing"
1310
; value specified by user in source file and any SVCrossNumPrintMissingDefault
1311
; specified in modelsim.ini.
1312
; SVCrossNumPrintMissing = 0
1313
 
1314
; Specify whether to use the value of "cross_num_print_missing"
1315
; option in report and GUI for the Cross in Covergroups. If not specified then
1316
; cross_num_print_missing is ignored for creating reports and displaying
1317
; covergroups in GUI. Default is 0, which means ignore "cross_num_print_missing".
1318
; UseSVCrossNumPrintMissing = 0
1319
 
1320
; Specify the threshold of Coverpoint wildcard bin value range size, above which
1321
; a warning will be triggered. The default is 4K -- 12 wildcard bits.
1322
; SVCoverpointWildCardBinValueSizeWarn = 4096
1323
 
1324
; Specify the override for the value of "strobe" option for the
1325
; Covergroup Type. If not specified then value in "type_option.strobe"
1326
; will be used. This is runtime option which forces "strobe" to
1327
; user specified value and supersedes user specified values in the
1328
; SystemVerilog Code. NOTE: This also overrides the compile time
1329
; default value override specified using "SVCovergroupStrobeDefault"
1330
; SVCovergroupStrobe = 0
1331
 
1332
; Override for explicit assignments in source code to "option.goal" of
1333
; SystemVerilog covergroup, coverpoint, and cross. It also overrides the
1334
; default value of "option.goal" (defined to be 100 in the SystemVerilog
1335
; LRM) and the value of modelsim.ini variable "SVCovergroupGoalDefault".
1336
; SVCovergroupGoal = 100
1337
 
1338
; Override for explicit assignments in source code to "type_option.goal" of
1339
; SystemVerilog covergroup, coverpoint, and cross. It also overrides the
1340
; default value of "type_option.goal" (defined to be 100 in the SystemVerilog
1341
; LRM) and the value of modelsim.ini variable "SVCovergroupTypeGoalDefault".
1342
; SVCovergroupTypeGoal = 100
1343
 
1344
; Enforce the 6.3 behavior of covergroup get_coverage() and get_inst_coverage()
1345
; builtin functions, and report. This setting changes the default values of
1346
; option.get_inst_coverage and type_option.merge_instances to ensure the 6.3
1347
; behavior if explicit assignments are not made on option.get_inst_coverage and
1348
; type_option.merge_instances by the user. There are two vsim command line
1349
; options, -cvg63 and -nocvg63 to override this setting from vsim command line.
1350
; The default value of this variable from release 6.6 onwards is 0. This default
1351
; drives compliance with the clarified behavior in the IEEE 1800-2009 standard.
1352
; SVCovergroup63Compatibility = 0
1353
 
1354
; Enforce the 6.5 default behavior of covergroup get_coverage() builtin
1355
; functions, GUI, and report. This setting changes the default values of
1356
; type_option.merge_instances to ensure the 6.5 default behavior if explicit
1357
; assignments are not made on type_option.merge_instances by the user.
1358
; There are two vsim command line options, -cvgmergeinstances and
1359
; -nocvgmergeinstances to override this setting from vsim command line.
1360
; The default value of this variable from release 6.6 onwards is 0. This default
1361
; drives compliance with the clarified behavior in the IEEE 1800-2009 standard.
1362
; SvCovergroupMergeInstancesDefault = 1
1363
 
1364
; Enable or disable generation of more detailed information about the sampling
1365
; of covergroup, cross, and coverpoints. It provides the details of the number
1366
; of times the covergroup instance and type were sampled, as well as details
1367
; about why covergroup, cross and coverpoint were not covered. A non-zero value
1368
; is to enable this feature. 0 is to disable this feature. Default is 0
1369
; SVCovergroupSampleInfo = 0
1370
 
1371
; Specify the maximum number of Coverpoint bins in whole design for
1372
; all Covergroups.
1373
; MaxSVCoverpointBinsDesign = 2147483648
1374
 
1375
; Specify maximum number of Coverpoint bins in any instance of a Covergroup
1376
; MaxSVCoverpointBinsInst = 2147483648
1377
 
1378
; Specify the maximum number of Cross bins in whole design for
1379
; all Covergroups.
1380
; MaxSVCrossBinsDesign = 2147483648
1381
 
1382
; Specify maximum number of Cross bins in any instance of a Covergroup
1383
; MaxSVCrossBinsInst = 2147483648
1384
 
1385
; Specify a space delimited list of double quoted TCL style
1386
; regular expressions which will be matched against the text of all messages.
1387
; If any regular expression is found to be contained within any message, the
1388
; status for that message will not be propagated to the UCDB TESTSTATUS.
1389
; If no match is detected, then the status will be propagated to the
1390
; UCDB TESTSTATUS. More than one such regular expression text is allowed,
1391
; and each message text is compared for each regular expression in the list.
1392
; UCDBTestStatusMessageFilter = "Done with Test Bench" "Ignore .* message"
1393
 
1394
; Set weight for all PSL/SVA cover directives.  Default is 1.
1395
; CoverWeight = 2
1396
 
1397
; Check vsim plusargs.  Default is 0 (off).
1398
; 0 = Don't check plusargs
1399
; 1 = Warning on unrecognized plusarg
1400
; 2 = Error and exit on unrecognized plusarg
1401
; CheckPlusargs = 1
1402
 
1403
; Load the specified shared objects with the RTLD_GLOBAL flag.
1404
; This gives global visibility to all symbols in the shared objects,
1405
; meaning that subsequently loaded shared objects can bind to symbols
1406
; in the global shared objects.  The list of shared objects should
1407
; be whitespace delimited.  This option is not supported on the
1408
; Windows or AIX platforms.
1409
; GlobalSharedObjectList = example1.so example2.so example3.so
1410
 
1411
; Run the 0in tools from within the simulator.
1412
; Default is off.
1413
; ZeroIn = 1
1414
 
1415
; Set the options to be passed to the 0in runtime tool.
1416
; Default value set to "".
1417
; ZeroInOptions = ""
1418
 
1419
; Initial seed for the random number generator of the root thread (SystemVerilog).
1420
; NOTE: This variable can be overridden with the vsim "-sv_seed" command line switch.
1421
; The default value is 0.
1422
; Sv_Seed = 0
1423
 
1424
; Specify the solver "engine" that vsim will select for constrained random
1425
; generation.
1426
; Valid values are:
1427
;    "auto" - automatically select the best engine for the current
1428
;             constraint scenario
1429
;    "bdd"  - evaluate all constraint scenarios using the BDD solver engine
1430
;    "act"  - evaluate all constraint scenarios using the ACT solver engine
1431
; While the BDD solver engine is generally efficient with constraint scenarios
1432
; involving bitwise logical relationships, the ACT solver engine can exhibit
1433
; superior performance with constraint scenarios involving large numbers of
1434
; random variables related via arithmetic operators (+, *, etc).
1435
; NOTE: This variable can be overridden with the vsim "-solveengine" command
1436
; line switch.
1437
; The default value is "auto".
1438
; SolveEngine = auto
1439
 
1440
; Specify if the solver should attempt to ignore overflow/underflow semantics
1441
; for arithmetic constraints (multiply, addition, subtraction) in order to
1442
; improve performance. The "solveignoreoverflow" attribute can be specified on
1443
; a per-call basis to randomize() to override this setting.
1444
; The default value is 0 (overflow/underflow is not ignored). Set to 1 to
1445
; ignore overflow/underflow.
1446
; SolveIgnoreOverflow = 0
1447
 
1448
; Specifies the maximum size that a dynamic array may be resized to by the
1449
; solver. If the solver attempts to resize a dynamic array to a size greater
1450
; than the specified limit, the solver will abort with an error.
1451
; The default value is 2000. A value of 0 indicates no limit.
1452
; SolveArrayResizeMax = 2000
1453
 
1454
; Error message severity when randomize() failure is detected (SystemVerilog).
1455
; 0 = No error  1 = Warning  2 = Error  3 = Failure  4 = Fatal
1456
; The default is 0 (no error).
1457
; SolveFailSeverity = 0
1458
 
1459
; Enable/disable debug information for randomize() failures.
1460
; NOTE: This variable can be overridden with the vsim "-solvefaildbug" command
1461
; line switch.
1462
; The default is 0 (disabled). Set to 1 to enable.
1463
; SolveFailDebug = 0
1464
 
1465
; Specify the maximum size of the solution graph generated by the BDD solver.
1466
; This value can be used to force the BDD solver to abort the evaluation of a
1467
; complex constraint scenario that cannot be evaluated with finite memory.
1468
; This value is specified in 1000s of nodes.
1469
; The default value is 10000. A value of 0 indicates no limit.
1470
; SolveGraphMaxSize = 10000
1471
 
1472
; Specify the maximum number of evaluations that may be performed on the
1473
; solution graph by the BDD solver. This value can be used to force the BDD
1474
; solver to abort the evaluation of a complex constraint scenario that cannot
1475
; be evaluated in finite time. This value is specified in 10000s of evaluations.
1476
; The default value is 10000. A value of 0 indicates no limit.
1477
; SolveGraphMaxEval = 10000
1478
 
1479
; Specify the maximum number of tests that the ACT solver may evaluate before
1480
; abandoning an attempt to solve a particular constraint scenario.
1481
; The default value is 20000000.  A value of 0 indicates no limit.
1482
; SolveACTMaxTests = 20000000
1483
 
1484
; Specify the maximum number of operations that the ACT solver may perform
1485
; before abandoning an attempt to solve a particular constraint scenario.  The
1486
; value is specified in 1000000s of operations.  The default value is 1000.  A
1487
; value of 0 indicates no limit.
1488
; SolveACTMaxOps = 1000
1489
 
1490
; Specify the number of times the ACT solver will retry to evaluate a constraint
1491
; scenario that fails due to the SolveACTMaxTests threshold.
1492
; The default value is 0 (no retry).
1493
; SolveACTRetryCount = 0
1494
 
1495
; SolveSpeculateLevel controls whether or not the solver performs speculation
1496
; during the evaluation of a constraint scenario.
1497
; Speculation is an attempt to partition complex constraint scenarios by
1498
; choosing a 'speculation' subset of the variables and constraints.  This
1499
; 'speculation' set is solved independently of the remaining constraints.
1500
; The solver then attempts to solve the remaining variables and constraints
1501
; (the 'dependent' set).  If this attempt fails, the solver backs up and
1502
; re-solves the 'speculation' set, then retries the 'dependent' set.
1503
; Valid values are:
1504
;    0 - no speculation
1505
;    1 - enable speculation that maintains LRM specified distribution
1506
;    2 - enable other speculation - may yield non-LRM distribution
1507
; Currently, distribution constraints and solve-before constraints are
1508
; used in selecting the 'speculation' sets for speculation level 1. Non-LRM
1509
; compliant speculation includes random variables in condition expressions.
1510
; The default value is 0.
1511
; SolveSpeculateLevel = 0
1512
 
1513
; By default, when speculation is enabled, the solver first tries to solve a
1514
; constraint scenario *without* speculation. If the solver fails to evaluate
1515
; the constraint scenario (due to time/memory limits) then the solver will
1516
; re-evaluate the constraint scenario with speculation. If SolveSpeculateFirst
1517
; is set to 1, the solver will skip the initial non-speculative attempt to
1518
; evaluate the constraint scenario. (Only applies when SolveSpeculateLevel is
1519
; non-zero)
1520
; The default value is 0.
1521
; SolveSpeculateFirst = 0
1522
 
1523
; Specify the maximum bit width of a variable in a conditional expression that
1524
; may be considered as the basis for "conditional" speculation. (Only applies
1525
; when SolveSpeculateLevel=2)
1526
; The default value is 6.
1527
; SolveSpeculateMaxCondWidth = 6
1528
 
1529
; Specify the maximum number of attempts to solve a speculative set of random
1530
; variables and constraints. Exceeding this limit will cause the solver to
1531
; abandon the current speculative set. (Only applies when SolveSpeculateLevel
1532
; is non-zero)
1533
; The default value is 100.
1534
; SolveSpeculateMaxIterations = 100
1535
 
1536
; Specifies whether to attempt speculation on solve-before constraints or
1537
; distribution constraints first. A value of 0 specifies that solve-before
1538
; constraints are attempted first as the basis for speculative randomization.
1539
; A value of 1 specifies that distribution constraints are attempted first
1540
; as the basis for speculative randomization.
1541
; The default value is 0.
1542
; SolveSpeculateDistFirst = 0
1543
 
1544
; If the non-speculative BDD solver fails to evaluate a constraint scenario
1545
; (due to time/memory limits) then the solver can be instructed to automatically
1546
; re-evaluate the constraint scenario with the ACT solver engine. Set
1547
; SolveACTbeforeSpeculate to 1 to enable this feature.
1548
; The default value is 0 (do not re-evaluate with the ACT solver).
1549
; SolveACTbeforeSpeculate = 0
1550
 
1551
; Use SolveFlags to specify options that will guide the behavior of the
1552
; constraint solver. These options may improve the performance of the
1553
; constraint solver for some testcases, and decrease the performance of the
1554
; constraint solver for others.
1555
; Valid flags are:
1556
;    i = disable bit interleaving for >, >=, <, <= constraints (BDD engine)
1557
;    n = disable bit interleaving for all constraints (BDD engine)
1558
;    r = reverse bit interleaving (BDD engine)
1559
; The default value is "" (no options).
1560
; SolveFlags =
1561
 
1562
; Specify random sequence compatiblity with a prior letter release. This
1563
; option is used to get the same random sequences during simulation as
1564
; as a prior letter release. Only prior letter releases (of the current
1565
; number release) are allowed.
1566
; NOTE: Only those random sequence changes due to solver optimizations are
1567
; reverted by this variable. Random sequence changes due to solver bugfixes
1568
; cannot be un-done.
1569
; NOTE: This variable can be overridden with the vsim "-solverev" command
1570
; line switch.
1571
; Default value set to "" (no compatibility).
1572
; SolveRev =
1573
 
1574
; Environment variable expansion of command line arguments has been depricated
1575
; in favor shell level expansion.  Universal environment variable expansion
1576
; inside -f files is support and continued support for MGC Location Maps provide
1577
; alternative methods for handling flexible pathnames.
1578
; The following line may be uncommented and the value set to 1 to re-enable this
1579
; deprecated behavior.  The default value is 0.
1580
; DeprecatedEnvironmentVariableExpansion = 0
1581
 
1582
; Turn on/off collapsing of bus ports in VCD dumpports output
1583
DumpportsCollapse = 1
1584
 
1585
; Location of Multi-Level Verification Component (MVC) installation.
1586
; The default location is the product installation directory.
1587
; MvcHome = $MODEL_TECH/...
1588
 
1589
; Initialize SystemVerilog enums using the base type's default value
1590
; instead of the leftmost value.
1591
; EnumBaseInit = 1
1592
 
1593
[lmc]
1594
; The simulator's interface to Logic Modeling's SmartModel SWIFT software
1595
libsm = $MODEL_TECH/libsm.sl
1596
; The simulator's interface to Logic Modeling's SmartModel SWIFT software (Windows NT)
1597
; libsm = $MODEL_TECH/libsm.dll
1598
;  Logic Modeling's SmartModel SWIFT software (HP 9000 Series 700)
1599
; libswift = $LMC_HOME/lib/hp700.lib/libswift.sl
1600
;  Logic Modeling's SmartModel SWIFT software (IBM RISC System/6000)
1601
; libswift = $LMC_HOME/lib/ibmrs.lib/swift.o
1602
;  Logic Modeling's SmartModel SWIFT software (Sun4 Solaris)
1603
; libswift = $LMC_HOME/lib/sun4Solaris.lib/libswift.so
1604
;  Logic Modeling's SmartModel SWIFT software (Windows NT)
1605
; libswift = $LMC_HOME/lib/pcnt.lib/libswift.dll
1606
;  Logic Modeling's SmartModel SWIFT software (non-Enterprise versions of Linux)
1607
; libswift = $LMC_HOME/lib/x86_linux.lib/libswift.so
1608
;  Logic Modeling's SmartModel SWIFT software (Enterprise versions of Linux)
1609
; libswift = $LMC_HOME/lib/linux.lib/libswift.so
1610
 
1611
; The simulator's interface to Logic Modeling's hardware modeler SFI software
1612
libhm = $MODEL_TECH/libhm.sl
1613
; The simulator's interface to Logic Modeling's hardware modeler SFI software (Windows NT)
1614
; libhm = $MODEL_TECH/libhm.dll
1615
;  Logic Modeling's hardware modeler SFI software (HP 9000 Series 700)
1616
; libsfi = /lib/hp700/libsfi.sl
1617
;  Logic Modeling's hardware modeler SFI software (IBM RISC System/6000)
1618
; libsfi = /lib/rs6000/libsfi.a
1619
;  Logic Modeling's hardware modeler SFI software (Sun4 Solaris)
1620
; libsfi = /lib/sun4.solaris/libsfi.so
1621
;  Logic Modeling's hardware modeler SFI software (Windows NT)
1622
; libsfi = /lib/pcnt/lm_sfi.dll
1623
;  Logic Modeling's hardware modeler SFI software (Linux)
1624
; libsfi = /lib/linux/libsfi.so
1625
 
1626
[msg_system]
1627
; Change a message severity or suppress a message.
1628
; The format is:  = [,...]
1629
; suppress can be used to achieve +nowarn functionality
1630
; The format is: suppress = ,,[,,...]
1631
; Examples:
1632
suppress = 8780
1633
;   note = 3009
1634
;   warning = 3033
1635
;   error = 3010,3016
1636
;   fatal = 3016,3033
1637
;   suppress = 3009,3016,3043
1638
;   suppress = 3009,CNNODP,3043,TFMPC
1639
;   suppress = 8683,8684
1640
; The command verror  can be used to get the complete
1641
; description of a message.
1642
 
1643
; Control transcripting of Verilog display system task messages and
1644
; PLI/FLI print function call messages.  The system tasks include
1645
; $display[bho], $strobe[bho], $monitor[bho], and $write[bho].  They
1646
; also include the analogous file I/O tasks that write to STDOUT
1647
; (i.e. $fwrite or $fdisplay).  The PLI/FLI calls include io_printf,
1648
; vpi_printf, mti_PrintMessage, and mti_PrintFormatted.  The default
1649
; is to have messages appear only in the transcript.  The other
1650
; settings are to send messages to the wlf file only (messages that
1651
; are recorded in the wlf file can be viewed in the MsgViewer) or
1652
; to both the transcript and the wlf file.  The valid values are
1653
;    tran  {transcript only (default)}
1654
;    wlf   {wlf file only}
1655
;    both  {transcript and wlf file}
1656
; displaymsgmode = tran
1657
 
1658
; Control transcripting of elaboration/runtime messages not
1659
; addressed by the displaymsgmode setting.  The default is to
1660
; have messages appear in the transcript and recorded in the wlf
1661
; file (messages that are recorded in the wlf file can be viewed
1662
; in the MsgViewer).  The other settings are to send messages
1663
; only to the transcript or only to the wlf file.  The valid
1664
; values are
1665
;    both  {default}
1666
;    tran  {transcript only}
1667
;    wlf   {wlf file only}
1668
; msgmode = both

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.