OpenCores
URL https://opencores.org/ocsvn/layer2/layer2/trunk

Subversion Repositories layer2

[/] [layer2/] [trunk/] [vhdl/] [intercon/] [rtl/] [icon.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 idiolatrie
--------------------------------------------------------------------------------
2
-- Wishbone Shared Bus Intercon                                               --
3
--------------------------------------------------------------------------------
4
-- Copyright (C)2011  Mathias Hörtnagl <mathias.hoertnagl@gmail.comt>         --
5
--                                                                            --
6
-- This program is free software: you can redistribute it and/or modify       --
7
-- it under the terms of the GNU General Public License as published by       --
8
-- the Free Software Foundation, either version 3 of the License, or          --
9
-- (at your option) any later version.                                        --
10
--                                                                            --
11
-- This program is distributed in the hope that it will be useful,            --
12
-- but WITHOUT ANY WARRANTY; without even the implied warranty of             --
13
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the              --
14
-- GNU General Public License for more details.                               --
15
--                                                                            --
16
-- You should have received a copy of the GNU General Public License          --
17
-- along with this program.  If not, see <http://www.gnu.org/licenses/>.      --
18
--------------------------------------------------------------------------------
19
library ieee;
20
use ieee.std_logic_1164.all;
21
use ieee.numeric_std.all;
22
 
23
library work;
24
use work.iwb.all;
25
 
26
package icon is
27
 
28
   component intercon is
29
      port(
30
         CLK50_I  : in  std_logic;
31
         CLK25_I  : in  std_logic;
32
         RST_I    : in  std_logic;
33
         mi       : out master_in_t;
34
         mo       : in  master_out_t;
35
         brami    : out slave_in_t;
36
         bramo    : in  slave_out_t;
37
         flasi    : out slave_in_t;
38
         flaso    : in  slave_out_t;
39
         ddri     : out slave_in_t;
40
         ddro     : in  slave_out_t;
41
         dispi    : out slave_in_t;
42
         dispo    : in  slave_out_t;
43
         keybi    : out slave_in_t;
44
         keybo    : in  slave_out_t;
45
         piti     : out slave_in_t;
46
         pito     : in  slave_out_t;
47
         uartri   : out slave_in_t;
48
         uartro   : in  slave_out_t;
49
         uartti   : out slave_in_t;
50
         uartto   : in  slave_out_t
51
      );
52
   end component;
53
 
54
end icon;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.