OpenCores
URL https://opencores.org/ocsvn/layer2/layer2/trunk

Subversion Repositories layer2

[/] [layer2/] [trunk/] [vhdl/] [keyb/] [bench/] [tb_ps2.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 idiolatrie
--------------------------------------------------------------------------------
2
--                                                                            --
3
--------------------------------------------------------------------------------
4
-- Version:  1.0                                                              --
5
-- Device:   Spartan 3E                                                       --
6
--                                                                            --
7
-- DESCRIPTION                                                                --
8
--                                                                            --
9
--                                                                            --
10
--------------------------------------------------------------------------------
11
-- Copyright (C)2011  Mathias Hörtnagl <mathias.hoertnagl@gmail.comt>         --
12
--                                                                            --
13
-- This program is free software: you can redistribute it and/or modify       --
14
-- it under the terms of the GNU General Public License as published by       --
15
-- the Free Software Foundation, either version 3 of the License, or          --
16
-- (at your option) any later version.                                        --
17
--                                                                            --
18
-- This program is distributed in the hope that it will be useful,            --
19
-- but WITHOUT ANY WARRANTY; without even the implied warranty of             --
20
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the              --
21
-- GNU General Public License for more details.                               --
22
--                                                                            --
23
-- You should have received a copy of the GNU General Public License          --
24
-- along with this program.  If not, see <http://www.gnu.org/licenses/>.      --
25
--------------------------------------------------------------------------------
26
library ieee;
27
use ieee.std_logic_1164.all;
28
use ieee.numeric_std.all;
29
 
30
entity tb_ps2 is
31
   port(
32
      CLK      : in  std_logic;
33
      PS2_CLK  : in  std_logic;
34
      PS2_DATA : in  std_logic;
35
      LED      : out std_logic_vector(7 downto 0)
36
   );
37
end tb_ps2;
38
 
39
architecture tb of tb_ps2 is
40
 
41
   component ps2 is
42
      port(
43
         clk      : in  std_logic;
44
         rst      : in  std_logic;
45
         PS2_CLK  : in  std_logic;
46
         PS2_DATA : in  std_logic;
47
         char     : out std_logic_vector(7 downto 0);
48
         rx_done  : out std_logic
49
      );
50
   end component;
51
 
52
begin
53
 
54
   uut0 : ps2 port map(
55
      clk      => CLK,
56
      rst      => '0',
57
      PS2_CLK  => PS2_CLK,
58
      PS2_DATA => PS2_DATA,
59
      char     => LED,
60
      rx_done  => open
61
   );
62
end tb;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.