OpenCores
URL https://opencores.org/ocsvn/layer2/layer2/trunk

Subversion Repositories layer2

[/] [layer2/] [trunk/] [vhdl/] [vga/] [rtl/] [ivga.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 idiolatrie
--------------------------------------------------------------------------------
2
-- 8-Color 100x37 Textmode Video Controller                                   --
3
--------------------------------------------------------------------------------
4
-- This controller features a 800x600@72Hz resolution Textmode VGA  with 100  --
5
-- characters per line and 37 lines. One out of 8 different colors can be     --
6
-- assigned to every single character and the character's background          --
7
-- respectivly.                                                               --
8
-- You can replace the character set with your own with <chars.py>. It takes  --
9
-- a <*.bdf> file and translates the character map into a <rom.vhd>           --
10
-- (Replaces the original!).                                                  --
11
--                                                                            --
12
-- For information about colors and usage consult <stdio.h> and <stdio.c>.    --
13
--                                                                            --
14
-- REFERENCES                                                                 --
15
--                                                                            --
16
--  [1] VGA Display Adapter                                                   --
17
--      <http://javiervalcarce.es/wiki/VHDL_Macro:_VGA80x40>                  --
18
--      Copyright 2007 by Javier Valcarce García                              --
19
--  [2] BDF Console Font File                                                 --
20
--      <http://www.ibiblio.org/pub/Linux/X11/fonts/>                         --
21
--  [3] Z80 System On A Chip                                                  --
22
--      <http://www.opencores.org/?do=project&who=z80soc>                     --
23
--  [4] Yet Another VGA                                                       --
24
--      <http://www.opencores.org/?do=project&who=yavga>                      --
25
--  [5] Xilinx Spartan 3E Starter Kit Board User Guide                        --
26
--      <http://www.xilinx.com/support/documentation/                         --
27
--      spartan-3e_board_and_kit_documentation.htm>                           --
28
--  [6] Display resolution calculator                                         --
29
--      <http://www.epanorama.net/faq/vga2rgb/calc.html>                      --
30
--                                                                            --
31
--  [7] Chu Pong P., FPGA Prototyping By VHDL Examples,                       --
32
--      John Wiley & Sons Inc., Hoboken, New Jersy, 2008,                     --
33
--      ISBN: 978-0470185315                                                  --
34
--                                                                            --
35
--------------------------------------------------------------------------------
36
-- Copyright (C)2011  Mathias Hörtnagl <mathias.hoertnagl@gmail.comt>         --
37
--                                                                            --
38
-- This program is free software: you can redistribute it and/or modify       --
39
-- it under the terms of the GNU General Public License as published by       --
40
-- the Free Software Foundation, either version 3 of the License, or          --
41
-- (at your option) any later version.                                        --
42
--                                                                            --
43
-- This program is distributed in the hope that it will be useful,            --
44
-- but WITHOUT ANY WARRANTY; without even the implied warranty of             --
45
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the              --
46
-- GNU General Public License for more details.                               --
47
--                                                                            --
48
-- You should have received a copy of the GNU General Public License          --
49
-- along with this program.  If not, see <http://www.gnu.org/licenses/>.      --
50
--------------------------------------------------------------------------------
51
library ieee;
52
use ieee.std_logic_1164.all;
53
use ieee.numeric_std.all;
54
 
55
library work;
56
use work.iwb.all;
57
 
58
package ivga is
59
 
60
   component vga is
61
      port(
62
         si        : in  slave_in_t;
63
         so        : out slave_out_t;
64
         VGA_RED   : out std_logic;
65
         VGA_GREEN : out std_logic;
66
         VGA_BLUE  : out std_logic;
67
         VGA_HSYNC : out std_logic;
68
         VGA_VSYNC : out std_logic
69
      );
70
   end component;
71
end ivga;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.