1 |
2 |
idiolatrie |
--------------------------------------------------------------------------------
|
2 |
|
|
-- 8-Color 100x37 Textmode Video Controller --
|
3 |
|
|
--------------------------------------------------------------------------------
|
4 |
|
|
-- --
|
5 |
|
|
-- IMPORTANT NOTICE --
|
6 |
|
|
-- --
|
7 |
|
|
-- Data in reverse order and shifted to the left 2px. Saves LUTs. Use the --
|
8 |
|
|
-- python script <chars.py> to generate your customized character set. --
|
9 |
|
|
-- --
|
10 |
|
|
--------------------------------------------------------------------------------
|
11 |
|
|
-- Copyright (C)2011 Mathias Hörtnagl <mathias.hoertnagl@gmail.comt> --
|
12 |
|
|
-- --
|
13 |
|
|
-- This program is free software: you can redistribute it and/or modify --
|
14 |
|
|
-- it under the terms of the GNU General Public License as published by --
|
15 |
|
|
-- the Free Software Foundation, either version 3 of the License, or --
|
16 |
|
|
-- (at your option) any later version. --
|
17 |
|
|
-- --
|
18 |
|
|
-- This program is distributed in the hope that it will be useful, --
|
19 |
|
|
-- but WITHOUT ANY WARRANTY; without even the implied warranty of --
|
20 |
|
|
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the --
|
21 |
|
|
-- GNU General Public License for more details. --
|
22 |
|
|
-- --
|
23 |
|
|
-- You should have received a copy of the GNU General Public License --
|
24 |
|
|
-- along with this program. If not, see <http://www.gnu.org/licenses/>. --
|
25 |
|
|
--------------------------------------------------------------------------------
|
26 |
|
|
library ieee;
|
27 |
|
|
use ieee.std_logic_1164.all;
|
28 |
|
|
use ieee.numeric_std.all;
|
29 |
|
|
|
30 |
|
|
entity rom is
|
31 |
|
|
port(
|
32 |
|
|
clk : in std_logic;
|
33 |
|
|
rom_addr : in std_logic_vector(11 downto 0);
|
34 |
|
|
rom_word : out std_logic_vector(7 downto 0)
|
35 |
|
|
);
|
36 |
|
|
end rom;
|
37 |
|
|
|
38 |
|
|
architecture rtl of rom is
|
39 |
|
|
begin
|
40 |
|
|
chrs : process(clk)
|
41 |
|
|
begin
|
42 |
|
|
if rising_edge(clk) then
|
43 |
|
|
case to_integer(unsigned(rom_addr)) is
|
44 |
|
|
when 18 => rom_word <= "11111001";
|
45 |
|
|
when 19 => rom_word <= "00000110";
|
46 |
|
|
when 20 => rom_word <= "10010110";
|
47 |
|
|
when 21 => rom_word <= "00000110";
|
48 |
|
|
when 22 => rom_word <= "00000110";
|
49 |
|
|
when 23 => rom_word <= "11110110";
|
50 |
|
|
when 24 => rom_word <= "01100110";
|
51 |
|
|
when 25 => rom_word <= "00000110";
|
52 |
|
|
when 26 => rom_word <= "00000110";
|
53 |
|
|
when 27 => rom_word <= "11111001";
|
54 |
|
|
when 34 => rom_word <= "11111001";
|
55 |
|
|
when 35 => rom_word <= "11111111";
|
56 |
|
|
when 36 => rom_word <= "01101111";
|
57 |
|
|
when 37 => rom_word <= "11111111";
|
58 |
|
|
when 38 => rom_word <= "11111111";
|
59 |
|
|
when 39 => rom_word <= "00001111";
|
60 |
|
|
when 40 => rom_word <= "10011111";
|
61 |
|
|
when 41 => rom_word <= "11111111";
|
62 |
|
|
when 42 => rom_word <= "11111111";
|
63 |
|
|
when 43 => rom_word <= "11111001";
|
64 |
|
|
when 52 => rom_word <= "11011000";
|
65 |
|
|
when 53 => rom_word <= "11111101";
|
66 |
|
|
when 54 => rom_word <= "11111101";
|
67 |
|
|
when 55 => rom_word <= "11111101";
|
68 |
|
|
when 56 => rom_word <= "11111101";
|
69 |
|
|
when 57 => rom_word <= "11111000";
|
70 |
|
|
when 58 => rom_word <= "01110000";
|
71 |
|
|
when 59 => rom_word <= "00100000";
|
72 |
|
|
when 68 => rom_word <= "00100000";
|
73 |
|
|
when 69 => rom_word <= "01110000";
|
74 |
|
|
when 70 => rom_word <= "11111000";
|
75 |
|
|
when 71 => rom_word <= "11111101";
|
76 |
|
|
when 72 => rom_word <= "11111000";
|
77 |
|
|
when 73 => rom_word <= "01110000";
|
78 |
|
|
when 74 => rom_word <= "00100000";
|
79 |
|
|
when 83 => rom_word <= "01100000";
|
80 |
|
|
when 84 => rom_word <= "11110000";
|
81 |
|
|
when 85 => rom_word <= "11110000";
|
82 |
|
|
when 86 => rom_word <= "10011111";
|
83 |
|
|
when 87 => rom_word <= "10011111";
|
84 |
|
|
when 88 => rom_word <= "10011111";
|
85 |
|
|
when 89 => rom_word <= "01100000";
|
86 |
|
|
when 90 => rom_word <= "01100000";
|
87 |
|
|
when 91 => rom_word <= "11110000";
|
88 |
|
|
when 99 => rom_word <= "01100000";
|
89 |
|
|
when 100 => rom_word <= "11110000";
|
90 |
|
|
when 101 => rom_word <= "11111001";
|
91 |
|
|
when 102 => rom_word <= "11111111";
|
92 |
|
|
when 103 => rom_word <= "11111111";
|
93 |
|
|
when 104 => rom_word <= "11111001";
|
94 |
|
|
when 105 => rom_word <= "01100000";
|
95 |
|
|
when 106 => rom_word <= "01100000";
|
96 |
|
|
when 107 => rom_word <= "11110000";
|
97 |
|
|
when 118 => rom_word <= "01100000";
|
98 |
|
|
when 119 => rom_word <= "11110000";
|
99 |
|
|
when 120 => rom_word <= "11110000";
|
100 |
|
|
when 121 => rom_word <= "01100000";
|
101 |
|
|
when 128 => rom_word <= "11111111";
|
102 |
|
|
when 129 => rom_word <= "11111111";
|
103 |
|
|
when 130 => rom_word <= "11111111";
|
104 |
|
|
when 131 => rom_word <= "11111111";
|
105 |
|
|
when 132 => rom_word <= "11111111";
|
106 |
|
|
when 133 => rom_word <= "11111111";
|
107 |
|
|
when 134 => rom_word <= "10011111";
|
108 |
|
|
when 135 => rom_word <= "00001111";
|
109 |
|
|
when 136 => rom_word <= "00001111";
|
110 |
|
|
when 137 => rom_word <= "10011111";
|
111 |
|
|
when 138 => rom_word <= "11111111";
|
112 |
|
|
when 139 => rom_word <= "11111111";
|
113 |
|
|
when 140 => rom_word <= "11111111";
|
114 |
|
|
when 141 => rom_word <= "11111111";
|
115 |
|
|
when 142 => rom_word <= "11111111";
|
116 |
|
|
when 143 => rom_word <= "11111111";
|
117 |
|
|
when 149 => rom_word <= "11110000";
|
118 |
|
|
when 150 => rom_word <= "10011001";
|
119 |
|
|
when 151 => rom_word <= "00001001";
|
120 |
|
|
when 152 => rom_word <= "00001001";
|
121 |
|
|
when 153 => rom_word <= "10011001";
|
122 |
|
|
when 154 => rom_word <= "11110000";
|
123 |
|
|
when 160 => rom_word <= "11111111";
|
124 |
|
|
when 161 => rom_word <= "11111111";
|
125 |
|
|
when 162 => rom_word <= "11111111";
|
126 |
|
|
when 163 => rom_word <= "11111111";
|
127 |
|
|
when 164 => rom_word <= "11111111";
|
128 |
|
|
when 165 => rom_word <= "00001111";
|
129 |
|
|
when 166 => rom_word <= "01100110";
|
130 |
|
|
when 167 => rom_word <= "11110110";
|
131 |
|
|
when 168 => rom_word <= "11110110";
|
132 |
|
|
when 169 => rom_word <= "01100110";
|
133 |
|
|
when 170 => rom_word <= "00001111";
|
134 |
|
|
when 171 => rom_word <= "11111111";
|
135 |
|
|
when 172 => rom_word <= "11111111";
|
136 |
|
|
when 173 => rom_word <= "11111111";
|
137 |
|
|
when 174 => rom_word <= "11111111";
|
138 |
|
|
when 175 => rom_word <= "11111111";
|
139 |
|
|
when 178 => rom_word <= "11100001";
|
140 |
|
|
when 179 => rom_word <= "11000001";
|
141 |
|
|
when 180 => rom_word <= "01100001";
|
142 |
|
|
when 181 => rom_word <= "00110001";
|
143 |
|
|
when 182 => rom_word <= "01111000";
|
144 |
|
|
when 183 => rom_word <= "11001100";
|
145 |
|
|
when 184 => rom_word <= "11001100";
|
146 |
|
|
when 185 => rom_word <= "11001100";
|
147 |
|
|
when 186 => rom_word <= "11001100";
|
148 |
|
|
when 187 => rom_word <= "01111000";
|
149 |
|
|
when 194 => rom_word <= "11110000";
|
150 |
|
|
when 195 => rom_word <= "10011001";
|
151 |
|
|
when 196 => rom_word <= "10011001";
|
152 |
|
|
when 197 => rom_word <= "10011001";
|
153 |
|
|
when 198 => rom_word <= "10011001";
|
154 |
|
|
when 199 => rom_word <= "11110000";
|
155 |
|
|
when 200 => rom_word <= "01100000";
|
156 |
|
|
when 201 => rom_word <= "11111001";
|
157 |
|
|
when 202 => rom_word <= "01100000";
|
158 |
|
|
when 203 => rom_word <= "01100000";
|
159 |
|
|
when 210 => rom_word <= "11110011";
|
160 |
|
|
when 211 => rom_word <= "00110011";
|
161 |
|
|
when 212 => rom_word <= "11110011";
|
162 |
|
|
when 213 => rom_word <= "00110000";
|
163 |
|
|
when 214 => rom_word <= "00110000";
|
164 |
|
|
when 215 => rom_word <= "00110000";
|
165 |
|
|
when 216 => rom_word <= "00110000";
|
166 |
|
|
when 217 => rom_word <= "00111000";
|
167 |
|
|
when 218 => rom_word <= "00111100";
|
168 |
|
|
when 219 => rom_word <= "00011100";
|
169 |
|
|
when 226 => rom_word <= "11111011";
|
170 |
|
|
when 227 => rom_word <= "00011011";
|
171 |
|
|
when 228 => rom_word <= "11111011";
|
172 |
|
|
when 229 => rom_word <= "00011011";
|
173 |
|
|
when 230 => rom_word <= "00011011";
|
174 |
|
|
when 231 => rom_word <= "00011011";
|
175 |
|
|
when 232 => rom_word <= "00011011";
|
176 |
|
|
when 233 => rom_word <= "10011011";
|
177 |
|
|
when 234 => rom_word <= "10011111";
|
178 |
|
|
when 235 => rom_word <= "10011101";
|
179 |
|
|
when 236 => rom_word <= "00001100";
|
180 |
|
|
when 243 => rom_word <= "01100000";
|
181 |
|
|
when 244 => rom_word <= "01100000";
|
182 |
|
|
when 245 => rom_word <= "01101111";
|
183 |
|
|
when 246 => rom_word <= "11110000";
|
184 |
|
|
when 247 => rom_word <= "10011111";
|
185 |
|
|
when 248 => rom_word <= "11110000";
|
186 |
|
|
when 249 => rom_word <= "01101111";
|
187 |
|
|
when 250 => rom_word <= "01100000";
|
188 |
|
|
when 251 => rom_word <= "01100000";
|
189 |
|
|
when 257 => rom_word <= "00000100";
|
190 |
|
|
when 258 => rom_word <= "00001100";
|
191 |
|
|
when 259 => rom_word <= "00011100";
|
192 |
|
|
when 260 => rom_word <= "00111100";
|
193 |
|
|
when 261 => rom_word <= "01111100";
|
194 |
|
|
when 262 => rom_word <= "11111101";
|
195 |
|
|
when 263 => rom_word <= "01111100";
|
196 |
|
|
when 264 => rom_word <= "00111100";
|
197 |
|
|
when 265 => rom_word <= "00011100";
|
198 |
|
|
when 266 => rom_word <= "00001100";
|
199 |
|
|
when 267 => rom_word <= "00000100";
|
200 |
|
|
when 273 => rom_word <= "00000001";
|
201 |
|
|
when 274 => rom_word <= "10000001";
|
202 |
|
|
when 275 => rom_word <= "11000001";
|
203 |
|
|
when 276 => rom_word <= "11100001";
|
204 |
|
|
when 277 => rom_word <= "11110001";
|
205 |
|
|
when 278 => rom_word <= "11111101";
|
206 |
|
|
when 279 => rom_word <= "11110001";
|
207 |
|
|
when 280 => rom_word <= "11100001";
|
208 |
|
|
when 281 => rom_word <= "11000001";
|
209 |
|
|
when 282 => rom_word <= "10000001";
|
210 |
|
|
when 283 => rom_word <= "00000001";
|
211 |
|
|
when 290 => rom_word <= "01100000";
|
212 |
|
|
when 291 => rom_word <= "11110000";
|
213 |
|
|
when 292 => rom_word <= "11111001";
|
214 |
|
|
when 293 => rom_word <= "01100000";
|
215 |
|
|
when 294 => rom_word <= "01100000";
|
216 |
|
|
when 295 => rom_word <= "01100000";
|
217 |
|
|
when 296 => rom_word <= "11111001";
|
218 |
|
|
when 297 => rom_word <= "11110000";
|
219 |
|
|
when 298 => rom_word <= "01100000";
|
220 |
|
|
when 306 => rom_word <= "10011001";
|
221 |
|
|
when 307 => rom_word <= "10011001";
|
222 |
|
|
when 308 => rom_word <= "10011001";
|
223 |
|
|
when 309 => rom_word <= "10011001";
|
224 |
|
|
when 310 => rom_word <= "10011001";
|
225 |
|
|
when 311 => rom_word <= "10011001";
|
226 |
|
|
when 312 => rom_word <= "10011001";
|
227 |
|
|
when 314 => rom_word <= "10011001";
|
228 |
|
|
when 315 => rom_word <= "10011001";
|
229 |
|
|
when 322 => rom_word <= "11111011";
|
230 |
|
|
when 323 => rom_word <= "01101111";
|
231 |
|
|
when 324 => rom_word <= "01101111";
|
232 |
|
|
when 325 => rom_word <= "01101111";
|
233 |
|
|
when 326 => rom_word <= "01111011";
|
234 |
|
|
when 327 => rom_word <= "01100011";
|
235 |
|
|
when 328 => rom_word <= "01100011";
|
236 |
|
|
when 329 => rom_word <= "01100011";
|
237 |
|
|
when 330 => rom_word <= "01100011";
|
238 |
|
|
when 331 => rom_word <= "01100011";
|
239 |
|
|
when 337 => rom_word <= "11111000";
|
240 |
|
|
when 338 => rom_word <= "10001101";
|
241 |
|
|
when 339 => rom_word <= "00011000";
|
242 |
|
|
when 340 => rom_word <= "01110000";
|
243 |
|
|
when 341 => rom_word <= "11011000";
|
244 |
|
|
when 342 => rom_word <= "10001101";
|
245 |
|
|
when 343 => rom_word <= "10001101";
|
246 |
|
|
when 344 => rom_word <= "11011000";
|
247 |
|
|
when 345 => rom_word <= "01110000";
|
248 |
|
|
when 346 => rom_word <= "11000000";
|
249 |
|
|
when 347 => rom_word <= "10001101";
|
250 |
|
|
when 348 => rom_word <= "11111000";
|
251 |
|
|
when 360 => rom_word <= "11111101";
|
252 |
|
|
when 361 => rom_word <= "11111101";
|
253 |
|
|
when 362 => rom_word <= "11111101";
|
254 |
|
|
when 363 => rom_word <= "11111101";
|
255 |
|
|
when 370 => rom_word <= "01100000";
|
256 |
|
|
when 371 => rom_word <= "11110000";
|
257 |
|
|
when 372 => rom_word <= "11111001";
|
258 |
|
|
when 373 => rom_word <= "01100000";
|
259 |
|
|
when 374 => rom_word <= "01100000";
|
260 |
|
|
when 375 => rom_word <= "01100000";
|
261 |
|
|
when 376 => rom_word <= "11111001";
|
262 |
|
|
when 377 => rom_word <= "11110000";
|
263 |
|
|
when 378 => rom_word <= "01100000";
|
264 |
|
|
when 379 => rom_word <= "11111001";
|
265 |
|
|
when 386 => rom_word <= "01100000";
|
266 |
|
|
when 387 => rom_word <= "11110000";
|
267 |
|
|
when 388 => rom_word <= "11111001";
|
268 |
|
|
when 389 => rom_word <= "01100000";
|
269 |
|
|
when 390 => rom_word <= "01100000";
|
270 |
|
|
when 391 => rom_word <= "01100000";
|
271 |
|
|
when 392 => rom_word <= "01100000";
|
272 |
|
|
when 393 => rom_word <= "01100000";
|
273 |
|
|
when 394 => rom_word <= "01100000";
|
274 |
|
|
when 395 => rom_word <= "01100000";
|
275 |
|
|
when 402 => rom_word <= "01100000";
|
276 |
|
|
when 403 => rom_word <= "01100000";
|
277 |
|
|
when 404 => rom_word <= "01100000";
|
278 |
|
|
when 405 => rom_word <= "01100000";
|
279 |
|
|
when 406 => rom_word <= "01100000";
|
280 |
|
|
when 407 => rom_word <= "01100000";
|
281 |
|
|
when 408 => rom_word <= "01100000";
|
282 |
|
|
when 409 => rom_word <= "11111001";
|
283 |
|
|
when 410 => rom_word <= "11110000";
|
284 |
|
|
when 411 => rom_word <= "01100000";
|
285 |
|
|
when 421 => rom_word <= "01100000";
|
286 |
|
|
when 422 => rom_word <= "11000000";
|
287 |
|
|
when 423 => rom_word <= "11111101";
|
288 |
|
|
when 424 => rom_word <= "11000000";
|
289 |
|
|
when 425 => rom_word <= "01100000";
|
290 |
|
|
when 437 => rom_word <= "00110000";
|
291 |
|
|
when 438 => rom_word <= "00011000";
|
292 |
|
|
when 439 => rom_word <= "11111101";
|
293 |
|
|
when 440 => rom_word <= "00011000";
|
294 |
|
|
when 441 => rom_word <= "00110000";
|
295 |
|
|
when 454 => rom_word <= "00001100";
|
296 |
|
|
when 455 => rom_word <= "00001100";
|
297 |
|
|
when 456 => rom_word <= "00001100";
|
298 |
|
|
when 457 => rom_word <= "11111101";
|
299 |
|
|
when 469 => rom_word <= "01010000";
|
300 |
|
|
when 470 => rom_word <= "11011000";
|
301 |
|
|
when 471 => rom_word <= "11111101";
|
302 |
|
|
when 472 => rom_word <= "11011000";
|
303 |
|
|
when 473 => rom_word <= "01010000";
|
304 |
|
|
when 484 => rom_word <= "00100000";
|
305 |
|
|
when 485 => rom_word <= "01110000";
|
306 |
|
|
when 486 => rom_word <= "01110000";
|
307 |
|
|
when 487 => rom_word <= "11111000";
|
308 |
|
|
when 488 => rom_word <= "11111000";
|
309 |
|
|
when 489 => rom_word <= "11111101";
|
310 |
|
|
when 490 => rom_word <= "11111101";
|
311 |
|
|
when 500 => rom_word <= "11111101";
|
312 |
|
|
when 501 => rom_word <= "11111101";
|
313 |
|
|
when 502 => rom_word <= "11111000";
|
314 |
|
|
when 503 => rom_word <= "11111000";
|
315 |
|
|
when 504 => rom_word <= "01110000";
|
316 |
|
|
when 505 => rom_word <= "01110000";
|
317 |
|
|
when 506 => rom_word <= "00100000";
|
318 |
|
|
when 530 => rom_word <= "01100000";
|
319 |
|
|
when 531 => rom_word <= "11110000";
|
320 |
|
|
when 532 => rom_word <= "11110000";
|
321 |
|
|
when 533 => rom_word <= "11110000";
|
322 |
|
|
when 534 => rom_word <= "01100000";
|
323 |
|
|
when 535 => rom_word <= "01100000";
|
324 |
|
|
when 536 => rom_word <= "01100000";
|
325 |
|
|
when 538 => rom_word <= "01100000";
|
326 |
|
|
when 539 => rom_word <= "01100000";
|
327 |
|
|
when 545 => rom_word <= "10011001";
|
328 |
|
|
when 546 => rom_word <= "10011001";
|
329 |
|
|
when 547 => rom_word <= "10011001";
|
330 |
|
|
when 548 => rom_word <= "10010000";
|
331 |
|
|
when 563 => rom_word <= "11011000";
|
332 |
|
|
when 564 => rom_word <= "11011000";
|
333 |
|
|
when 565 => rom_word <= "11111101";
|
334 |
|
|
when 566 => rom_word <= "11011000";
|
335 |
|
|
when 567 => rom_word <= "11011000";
|
336 |
|
|
when 568 => rom_word <= "11011000";
|
337 |
|
|
when 569 => rom_word <= "11111101";
|
338 |
|
|
when 570 => rom_word <= "11011000";
|
339 |
|
|
when 571 => rom_word <= "11011000";
|
340 |
|
|
when 576 => rom_word <= "01100000";
|
341 |
|
|
when 577 => rom_word <= "01100000";
|
342 |
|
|
when 578 => rom_word <= "11111000";
|
343 |
|
|
when 579 => rom_word <= "10001101";
|
344 |
|
|
when 580 => rom_word <= "00001101";
|
345 |
|
|
when 581 => rom_word <= "00001100";
|
346 |
|
|
when 582 => rom_word <= "11111000";
|
347 |
|
|
when 583 => rom_word <= "10000001";
|
348 |
|
|
when 584 => rom_word <= "10000001";
|
349 |
|
|
when 585 => rom_word <= "10000101";
|
350 |
|
|
when 586 => rom_word <= "10001101";
|
351 |
|
|
when 587 => rom_word <= "11111000";
|
352 |
|
|
when 588 => rom_word <= "01100000";
|
353 |
|
|
when 589 => rom_word <= "01100000";
|
354 |
|
|
when 596 => rom_word <= "00001101";
|
355 |
|
|
when 597 => rom_word <= "10001101";
|
356 |
|
|
when 598 => rom_word <= "11000000";
|
357 |
|
|
when 599 => rom_word <= "01100000";
|
358 |
|
|
when 600 => rom_word <= "00110000";
|
359 |
|
|
when 601 => rom_word <= "00011000";
|
360 |
|
|
when 602 => rom_word <= "10001101";
|
361 |
|
|
when 603 => rom_word <= "10000101";
|
362 |
|
|
when 610 => rom_word <= "01110000";
|
363 |
|
|
when 611 => rom_word <= "11011000";
|
364 |
|
|
when 612 => rom_word <= "11011000";
|
365 |
|
|
when 613 => rom_word <= "01110000";
|
366 |
|
|
when 614 => rom_word <= "10111001";
|
367 |
|
|
when 615 => rom_word <= "11101100";
|
368 |
|
|
when 616 => rom_word <= "11001100";
|
369 |
|
|
when 617 => rom_word <= "11001100";
|
370 |
|
|
when 618 => rom_word <= "11001100";
|
371 |
|
|
when 619 => rom_word <= "10111001";
|
372 |
|
|
when 625 => rom_word <= "00110000";
|
373 |
|
|
when 626 => rom_word <= "00110000";
|
374 |
|
|
when 627 => rom_word <= "00110000";
|
375 |
|
|
when 628 => rom_word <= "00011000";
|
376 |
|
|
when 642 => rom_word <= "11000000";
|
377 |
|
|
when 643 => rom_word <= "01100000";
|
378 |
|
|
when 644 => rom_word <= "00110000";
|
379 |
|
|
when 645 => rom_word <= "00110000";
|
380 |
|
|
when 646 => rom_word <= "00110000";
|
381 |
|
|
when 647 => rom_word <= "00110000";
|
382 |
|
|
when 648 => rom_word <= "00110000";
|
383 |
|
|
when 649 => rom_word <= "00110000";
|
384 |
|
|
when 650 => rom_word <= "01100000";
|
385 |
|
|
when 651 => rom_word <= "11000000";
|
386 |
|
|
when 658 => rom_word <= "00110000";
|
387 |
|
|
when 659 => rom_word <= "01100000";
|
388 |
|
|
when 660 => rom_word <= "11000000";
|
389 |
|
|
when 661 => rom_word <= "11000000";
|
390 |
|
|
when 662 => rom_word <= "11000000";
|
391 |
|
|
when 663 => rom_word <= "11000000";
|
392 |
|
|
when 664 => rom_word <= "11000000";
|
393 |
|
|
when 665 => rom_word <= "11000000";
|
394 |
|
|
when 666 => rom_word <= "01100000";
|
395 |
|
|
when 667 => rom_word <= "00110000";
|
396 |
|
|
when 677 => rom_word <= "10011001";
|
397 |
|
|
when 678 => rom_word <= "11110000";
|
398 |
|
|
when 679 => rom_word <= "11111111";
|
399 |
|
|
when 680 => rom_word <= "11110000";
|
400 |
|
|
when 681 => rom_word <= "10011001";
|
401 |
|
|
when 693 => rom_word <= "01100000";
|
402 |
|
|
when 694 => rom_word <= "01100000";
|
403 |
|
|
when 695 => rom_word <= "11111001";
|
404 |
|
|
when 696 => rom_word <= "01100000";
|
405 |
|
|
when 697 => rom_word <= "01100000";
|
406 |
|
|
when 713 => rom_word <= "01100000";
|
407 |
|
|
when 714 => rom_word <= "01100000";
|
408 |
|
|
when 715 => rom_word <= "01100000";
|
409 |
|
|
when 716 => rom_word <= "00110000";
|
410 |
|
|
when 727 => rom_word <= "11111101";
|
411 |
|
|
when 746 => rom_word <= "01100000";
|
412 |
|
|
when 747 => rom_word <= "01100000";
|
413 |
|
|
when 756 => rom_word <= "00000001";
|
414 |
|
|
when 757 => rom_word <= "10000001";
|
415 |
|
|
when 758 => rom_word <= "11000000";
|
416 |
|
|
when 759 => rom_word <= "01100000";
|
417 |
|
|
when 760 => rom_word <= "00110000";
|
418 |
|
|
when 761 => rom_word <= "00011000";
|
419 |
|
|
when 762 => rom_word <= "00001100";
|
420 |
|
|
when 763 => rom_word <= "00000100";
|
421 |
|
|
when 770 => rom_word <= "01110000";
|
422 |
|
|
when 771 => rom_word <= "11011000";
|
423 |
|
|
when 772 => rom_word <= "10001101";
|
424 |
|
|
when 773 => rom_word <= "11001101";
|
425 |
|
|
when 774 => rom_word <= "11101101";
|
426 |
|
|
when 775 => rom_word <= "10111101";
|
427 |
|
|
when 776 => rom_word <= "10011101";
|
428 |
|
|
when 777 => rom_word <= "10001101";
|
429 |
|
|
when 778 => rom_word <= "11011000";
|
430 |
|
|
when 779 => rom_word <= "01110000";
|
431 |
|
|
when 786 => rom_word <= "01100000";
|
432 |
|
|
when 787 => rom_word <= "01110000";
|
433 |
|
|
when 788 => rom_word <= "01111000";
|
434 |
|
|
when 789 => rom_word <= "01100000";
|
435 |
|
|
when 790 => rom_word <= "01100000";
|
436 |
|
|
when 791 => rom_word <= "01100000";
|
437 |
|
|
when 792 => rom_word <= "01100000";
|
438 |
|
|
when 793 => rom_word <= "01100000";
|
439 |
|
|
when 794 => rom_word <= "01100000";
|
440 |
|
|
when 795 => rom_word <= "01100000";
|
441 |
|
|
when 802 => rom_word <= "11111000";
|
442 |
|
|
when 803 => rom_word <= "10001101";
|
443 |
|
|
when 804 => rom_word <= "10000001";
|
444 |
|
|
when 805 => rom_word <= "11000000";
|
445 |
|
|
when 806 => rom_word <= "01100000";
|
446 |
|
|
when 807 => rom_word <= "00110000";
|
447 |
|
|
when 808 => rom_word <= "00011000";
|
448 |
|
|
when 809 => rom_word <= "00001100";
|
449 |
|
|
when 810 => rom_word <= "00001100";
|
450 |
|
|
when 811 => rom_word <= "11111101";
|
451 |
|
|
when 818 => rom_word <= "11111000";
|
452 |
|
|
when 819 => rom_word <= "10000101";
|
453 |
|
|
when 820 => rom_word <= "10000001";
|
454 |
|
|
when 821 => rom_word <= "10000001";
|
455 |
|
|
when 822 => rom_word <= "11110000";
|
456 |
|
|
when 823 => rom_word <= "10000001";
|
457 |
|
|
when 824 => rom_word <= "10000001";
|
458 |
|
|
when 825 => rom_word <= "10000001";
|
459 |
|
|
when 826 => rom_word <= "10000101";
|
460 |
|
|
when 827 => rom_word <= "11111000";
|
461 |
|
|
when 834 => rom_word <= "11000000";
|
462 |
|
|
when 835 => rom_word <= "11100000";
|
463 |
|
|
when 836 => rom_word <= "11110000";
|
464 |
|
|
when 837 => rom_word <= "11011000";
|
465 |
|
|
when 838 => rom_word <= "11001100";
|
466 |
|
|
when 839 => rom_word <= "11111101";
|
467 |
|
|
when 840 => rom_word <= "11000000";
|
468 |
|
|
when 841 => rom_word <= "11000000";
|
469 |
|
|
when 842 => rom_word <= "11000000";
|
470 |
|
|
when 843 => rom_word <= "11000000";
|
471 |
|
|
when 850 => rom_word <= "11111101";
|
472 |
|
|
when 851 => rom_word <= "00001100";
|
473 |
|
|
when 852 => rom_word <= "00001100";
|
474 |
|
|
when 853 => rom_word <= "00001100";
|
475 |
|
|
when 854 => rom_word <= "11111100";
|
476 |
|
|
when 855 => rom_word <= "10000001";
|
477 |
|
|
when 856 => rom_word <= "10000001";
|
478 |
|
|
when 857 => rom_word <= "10000001";
|
479 |
|
|
when 858 => rom_word <= "10000101";
|
480 |
|
|
when 859 => rom_word <= "11111000";
|
481 |
|
|
when 866 => rom_word <= "11110000";
|
482 |
|
|
when 867 => rom_word <= "00011000";
|
483 |
|
|
when 868 => rom_word <= "00001100";
|
484 |
|
|
when 869 => rom_word <= "00001100";
|
485 |
|
|
when 870 => rom_word <= "11101100";
|
486 |
|
|
when 871 => rom_word <= "10011101";
|
487 |
|
|
when 872 => rom_word <= "10001101";
|
488 |
|
|
when 873 => rom_word <= "10001101";
|
489 |
|
|
when 874 => rom_word <= "10001101";
|
490 |
|
|
when 875 => rom_word <= "11111000";
|
491 |
|
|
when 882 => rom_word <= "11111101";
|
492 |
|
|
when 883 => rom_word <= "10000001";
|
493 |
|
|
when 884 => rom_word <= "10000001";
|
494 |
|
|
when 885 => rom_word <= "10000001";
|
495 |
|
|
when 886 => rom_word <= "11000000";
|
496 |
|
|
when 887 => rom_word <= "01100000";
|
497 |
|
|
when 888 => rom_word <= "00110000";
|
498 |
|
|
when 889 => rom_word <= "00110000";
|
499 |
|
|
when 890 => rom_word <= "00110000";
|
500 |
|
|
when 891 => rom_word <= "00110000";
|
501 |
|
|
when 898 => rom_word <= "11111000";
|
502 |
|
|
when 899 => rom_word <= "10001101";
|
503 |
|
|
when 900 => rom_word <= "10001101";
|
504 |
|
|
when 901 => rom_word <= "10001101";
|
505 |
|
|
when 902 => rom_word <= "11111000";
|
506 |
|
|
when 903 => rom_word <= "10001101";
|
507 |
|
|
when 904 => rom_word <= "10001101";
|
508 |
|
|
when 905 => rom_word <= "10001101";
|
509 |
|
|
when 906 => rom_word <= "10001101";
|
510 |
|
|
when 907 => rom_word <= "11111000";
|
511 |
|
|
when 914 => rom_word <= "11111000";
|
512 |
|
|
when 915 => rom_word <= "10001101";
|
513 |
|
|
when 916 => rom_word <= "10001101";
|
514 |
|
|
when 917 => rom_word <= "10001101";
|
515 |
|
|
when 918 => rom_word <= "11111001";
|
516 |
|
|
when 919 => rom_word <= "10000001";
|
517 |
|
|
when 920 => rom_word <= "10000001";
|
518 |
|
|
when 921 => rom_word <= "10000001";
|
519 |
|
|
when 922 => rom_word <= "11000100";
|
520 |
|
|
when 923 => rom_word <= "01111000";
|
521 |
|
|
when 932 => rom_word <= "01100000";
|
522 |
|
|
when 933 => rom_word <= "01100000";
|
523 |
|
|
when 937 => rom_word <= "01100000";
|
524 |
|
|
when 938 => rom_word <= "01100000";
|
525 |
|
|
when 948 => rom_word <= "01100000";
|
526 |
|
|
when 949 => rom_word <= "01100000";
|
527 |
|
|
when 953 => rom_word <= "01100000";
|
528 |
|
|
when 954 => rom_word <= "01100000";
|
529 |
|
|
when 955 => rom_word <= "00110000";
|
530 |
|
|
when 963 => rom_word <= "10000001";
|
531 |
|
|
when 964 => rom_word <= "11000000";
|
532 |
|
|
when 965 => rom_word <= "01100000";
|
533 |
|
|
when 966 => rom_word <= "00110000";
|
534 |
|
|
when 967 => rom_word <= "00011000";
|
535 |
|
|
when 968 => rom_word <= "00110000";
|
536 |
|
|
when 969 => rom_word <= "01100000";
|
537 |
|
|
when 970 => rom_word <= "11000000";
|
538 |
|
|
when 971 => rom_word <= "10000001";
|
539 |
|
|
when 981 => rom_word <= "11111001";
|
540 |
|
|
when 984 => rom_word <= "11111001";
|
541 |
|
|
when 995 => rom_word <= "00011000";
|
542 |
|
|
when 996 => rom_word <= "00110000";
|
543 |
|
|
when 997 => rom_word <= "01100000";
|
544 |
|
|
when 998 => rom_word <= "11000000";
|
545 |
|
|
when 999 => rom_word <= "10000001";
|
546 |
|
|
when 1000 => rom_word <= "11000000";
|
547 |
|
|
when 1001 => rom_word <= "01100000";
|
548 |
|
|
when 1002 => rom_word <= "00110000";
|
549 |
|
|
when 1003 => rom_word <= "00011000";
|
550 |
|
|
when 1010 => rom_word <= "11111000";
|
551 |
|
|
when 1011 => rom_word <= "10001101";
|
552 |
|
|
when 1012 => rom_word <= "10001101";
|
553 |
|
|
when 1013 => rom_word <= "11000000";
|
554 |
|
|
when 1014 => rom_word <= "01100000";
|
555 |
|
|
when 1015 => rom_word <= "01100000";
|
556 |
|
|
when 1016 => rom_word <= "01100000";
|
557 |
|
|
when 1018 => rom_word <= "01100000";
|
558 |
|
|
when 1019 => rom_word <= "01100000";
|
559 |
|
|
when 1027 => rom_word <= "11111000";
|
560 |
|
|
when 1028 => rom_word <= "10001101";
|
561 |
|
|
when 1029 => rom_word <= "10001101";
|
562 |
|
|
when 1030 => rom_word <= "11101101";
|
563 |
|
|
when 1031 => rom_word <= "11101101";
|
564 |
|
|
when 1032 => rom_word <= "11101101";
|
565 |
|
|
when 1033 => rom_word <= "11101100";
|
566 |
|
|
when 1034 => rom_word <= "00001100";
|
567 |
|
|
when 1035 => rom_word <= "11111000";
|
568 |
|
|
when 1042 => rom_word <= "00100000";
|
569 |
|
|
when 1043 => rom_word <= "01110000";
|
570 |
|
|
when 1044 => rom_word <= "11011000";
|
571 |
|
|
when 1045 => rom_word <= "10001101";
|
572 |
|
|
when 1046 => rom_word <= "10001101";
|
573 |
|
|
when 1047 => rom_word <= "11111101";
|
574 |
|
|
when 1048 => rom_word <= "10001101";
|
575 |
|
|
when 1049 => rom_word <= "10001101";
|
576 |
|
|
when 1050 => rom_word <= "10001101";
|
577 |
|
|
when 1051 => rom_word <= "10001101";
|
578 |
|
|
when 1058 => rom_word <= "11111100";
|
579 |
|
|
when 1059 => rom_word <= "10001101";
|
580 |
|
|
when 1060 => rom_word <= "10001101";
|
581 |
|
|
when 1061 => rom_word <= "10001101";
|
582 |
|
|
when 1062 => rom_word <= "11111100";
|
583 |
|
|
when 1063 => rom_word <= "10001101";
|
584 |
|
|
when 1064 => rom_word <= "10001101";
|
585 |
|
|
when 1065 => rom_word <= "10001101";
|
586 |
|
|
when 1066 => rom_word <= "10001101";
|
587 |
|
|
when 1067 => rom_word <= "11111100";
|
588 |
|
|
when 1074 => rom_word <= "11110000";
|
589 |
|
|
when 1075 => rom_word <= "10011001";
|
590 |
|
|
when 1076 => rom_word <= "00001101";
|
591 |
|
|
when 1077 => rom_word <= "00001100";
|
592 |
|
|
when 1078 => rom_word <= "00001100";
|
593 |
|
|
when 1079 => rom_word <= "00001100";
|
594 |
|
|
when 1080 => rom_word <= "00001100";
|
595 |
|
|
when 1081 => rom_word <= "00001101";
|
596 |
|
|
when 1082 => rom_word <= "10011001";
|
597 |
|
|
when 1083 => rom_word <= "11110000";
|
598 |
|
|
when 1090 => rom_word <= "01111100";
|
599 |
|
|
when 1091 => rom_word <= "11101100";
|
600 |
|
|
when 1092 => rom_word <= "11001101";
|
601 |
|
|
when 1093 => rom_word <= "10001101";
|
602 |
|
|
when 1094 => rom_word <= "10001101";
|
603 |
|
|
when 1095 => rom_word <= "10001101";
|
604 |
|
|
when 1096 => rom_word <= "10001101";
|
605 |
|
|
when 1097 => rom_word <= "11001101";
|
606 |
|
|
when 1098 => rom_word <= "11101100";
|
607 |
|
|
when 1099 => rom_word <= "01111100";
|
608 |
|
|
when 1106 => rom_word <= "11111100";
|
609 |
|
|
when 1107 => rom_word <= "00001100";
|
610 |
|
|
when 1108 => rom_word <= "00001100";
|
611 |
|
|
when 1109 => rom_word <= "00001100";
|
612 |
|
|
when 1110 => rom_word <= "01111100";
|
613 |
|
|
when 1111 => rom_word <= "00001100";
|
614 |
|
|
when 1112 => rom_word <= "00001100";
|
615 |
|
|
when 1113 => rom_word <= "00001100";
|
616 |
|
|
when 1114 => rom_word <= "00001100";
|
617 |
|
|
when 1115 => rom_word <= "11111100";
|
618 |
|
|
when 1122 => rom_word <= "11111100";
|
619 |
|
|
when 1123 => rom_word <= "00001100";
|
620 |
|
|
when 1124 => rom_word <= "00001100";
|
621 |
|
|
when 1125 => rom_word <= "00001100";
|
622 |
|
|
when 1126 => rom_word <= "01111100";
|
623 |
|
|
when 1127 => rom_word <= "00001100";
|
624 |
|
|
when 1128 => rom_word <= "00001100";
|
625 |
|
|
when 1129 => rom_word <= "00001100";
|
626 |
|
|
when 1130 => rom_word <= "00001100";
|
627 |
|
|
when 1131 => rom_word <= "00001100";
|
628 |
|
|
when 1138 => rom_word <= "11110000";
|
629 |
|
|
when 1139 => rom_word <= "00011001";
|
630 |
|
|
when 1140 => rom_word <= "00001100";
|
631 |
|
|
when 1141 => rom_word <= "00001100";
|
632 |
|
|
when 1142 => rom_word <= "00001100";
|
633 |
|
|
when 1143 => rom_word <= "11101101";
|
634 |
|
|
when 1144 => rom_word <= "10001101";
|
635 |
|
|
when 1145 => rom_word <= "10001101";
|
636 |
|
|
when 1146 => rom_word <= "10011001";
|
637 |
|
|
when 1147 => rom_word <= "11110001";
|
638 |
|
|
when 1154 => rom_word <= "10001101";
|
639 |
|
|
when 1155 => rom_word <= "10001101";
|
640 |
|
|
when 1156 => rom_word <= "10001101";
|
641 |
|
|
when 1157 => rom_word <= "10001101";
|
642 |
|
|
when 1158 => rom_word <= "11111101";
|
643 |
|
|
when 1159 => rom_word <= "10001101";
|
644 |
|
|
when 1160 => rom_word <= "10001101";
|
645 |
|
|
when 1161 => rom_word <= "10001101";
|
646 |
|
|
when 1162 => rom_word <= "10001101";
|
647 |
|
|
when 1163 => rom_word <= "10001101";
|
648 |
|
|
when 1170 => rom_word <= "01100000";
|
649 |
|
|
when 1171 => rom_word <= "01100000";
|
650 |
|
|
when 1172 => rom_word <= "01100000";
|
651 |
|
|
when 1173 => rom_word <= "01100000";
|
652 |
|
|
when 1174 => rom_word <= "01100000";
|
653 |
|
|
when 1175 => rom_word <= "01100000";
|
654 |
|
|
when 1176 => rom_word <= "01100000";
|
655 |
|
|
when 1177 => rom_word <= "01100000";
|
656 |
|
|
when 1178 => rom_word <= "01100000";
|
657 |
|
|
when 1179 => rom_word <= "01100000";
|
658 |
|
|
when 1186 => rom_word <= "11100001";
|
659 |
|
|
when 1187 => rom_word <= "11000000";
|
660 |
|
|
when 1188 => rom_word <= "11000000";
|
661 |
|
|
when 1189 => rom_word <= "11000000";
|
662 |
|
|
when 1190 => rom_word <= "11000000";
|
663 |
|
|
when 1191 => rom_word <= "11000000";
|
664 |
|
|
when 1192 => rom_word <= "11001100";
|
665 |
|
|
when 1193 => rom_word <= "11001100";
|
666 |
|
|
when 1194 => rom_word <= "11001100";
|
667 |
|
|
when 1195 => rom_word <= "01111000";
|
668 |
|
|
when 1202 => rom_word <= "00001101";
|
669 |
|
|
when 1203 => rom_word <= "10001101";
|
670 |
|
|
when 1204 => rom_word <= "11001100";
|
671 |
|
|
when 1205 => rom_word <= "01101100";
|
672 |
|
|
when 1206 => rom_word <= "00111100";
|
673 |
|
|
when 1207 => rom_word <= "00111100";
|
674 |
|
|
when 1208 => rom_word <= "01101100";
|
675 |
|
|
when 1209 => rom_word <= "11001100";
|
676 |
|
|
when 1210 => rom_word <= "10001101";
|
677 |
|
|
when 1211 => rom_word <= "00001101";
|
678 |
|
|
when 1218 => rom_word <= "00001100";
|
679 |
|
|
when 1219 => rom_word <= "00001100";
|
680 |
|
|
when 1220 => rom_word <= "00001100";
|
681 |
|
|
when 1221 => rom_word <= "00001100";
|
682 |
|
|
when 1222 => rom_word <= "00001100";
|
683 |
|
|
when 1223 => rom_word <= "00001100";
|
684 |
|
|
when 1224 => rom_word <= "00001100";
|
685 |
|
|
when 1225 => rom_word <= "00001100";
|
686 |
|
|
when 1226 => rom_word <= "00001100";
|
687 |
|
|
when 1227 => rom_word <= "11111100";
|
688 |
|
|
when 1234 => rom_word <= "10001101";
|
689 |
|
|
when 1235 => rom_word <= "11011101";
|
690 |
|
|
when 1236 => rom_word <= "11111101";
|
691 |
|
|
when 1237 => rom_word <= "11111101";
|
692 |
|
|
when 1238 => rom_word <= "10101101";
|
693 |
|
|
when 1239 => rom_word <= "10001101";
|
694 |
|
|
when 1240 => rom_word <= "10001101";
|
695 |
|
|
when 1241 => rom_word <= "10001101";
|
696 |
|
|
when 1242 => rom_word <= "10001101";
|
697 |
|
|
when 1243 => rom_word <= "10001101";
|
698 |
|
|
when 1250 => rom_word <= "10001101";
|
699 |
|
|
when 1251 => rom_word <= "10011101";
|
700 |
|
|
when 1252 => rom_word <= "10111101";
|
701 |
|
|
when 1253 => rom_word <= "11111101";
|
702 |
|
|
when 1254 => rom_word <= "11101101";
|
703 |
|
|
when 1255 => rom_word <= "11001101";
|
704 |
|
|
when 1256 => rom_word <= "10001101";
|
705 |
|
|
when 1257 => rom_word <= "10001101";
|
706 |
|
|
when 1258 => rom_word <= "10001101";
|
707 |
|
|
when 1259 => rom_word <= "10001101";
|
708 |
|
|
when 1266 => rom_word <= "11111000";
|
709 |
|
|
when 1267 => rom_word <= "10001101";
|
710 |
|
|
when 1268 => rom_word <= "10001101";
|
711 |
|
|
when 1269 => rom_word <= "10001101";
|
712 |
|
|
when 1270 => rom_word <= "10001101";
|
713 |
|
|
when 1271 => rom_word <= "10001101";
|
714 |
|
|
when 1272 => rom_word <= "10001101";
|
715 |
|
|
when 1273 => rom_word <= "10001101";
|
716 |
|
|
when 1274 => rom_word <= "10001101";
|
717 |
|
|
when 1275 => rom_word <= "11111000";
|
718 |
|
|
when 1282 => rom_word <= "11111100";
|
719 |
|
|
when 1283 => rom_word <= "10001101";
|
720 |
|
|
when 1284 => rom_word <= "10001101";
|
721 |
|
|
when 1285 => rom_word <= "10001101";
|
722 |
|
|
when 1286 => rom_word <= "11111100";
|
723 |
|
|
when 1287 => rom_word <= "00001100";
|
724 |
|
|
when 1288 => rom_word <= "00001100";
|
725 |
|
|
when 1289 => rom_word <= "00001100";
|
726 |
|
|
when 1290 => rom_word <= "00001100";
|
727 |
|
|
when 1291 => rom_word <= "00001100";
|
728 |
|
|
when 1298 => rom_word <= "11111000";
|
729 |
|
|
when 1299 => rom_word <= "10001101";
|
730 |
|
|
when 1300 => rom_word <= "10001101";
|
731 |
|
|
when 1301 => rom_word <= "10001101";
|
732 |
|
|
when 1302 => rom_word <= "10001101";
|
733 |
|
|
when 1303 => rom_word <= "10001101";
|
734 |
|
|
when 1304 => rom_word <= "10001101";
|
735 |
|
|
when 1305 => rom_word <= "10101101";
|
736 |
|
|
when 1306 => rom_word <= "11101101";
|
737 |
|
|
when 1307 => rom_word <= "11111000";
|
738 |
|
|
when 1308 => rom_word <= "11000000";
|
739 |
|
|
when 1309 => rom_word <= "10000001";
|
740 |
|
|
when 1314 => rom_word <= "11111100";
|
741 |
|
|
when 1315 => rom_word <= "10001101";
|
742 |
|
|
when 1316 => rom_word <= "10001101";
|
743 |
|
|
when 1317 => rom_word <= "10001101";
|
744 |
|
|
when 1318 => rom_word <= "11111100";
|
745 |
|
|
when 1319 => rom_word <= "01101100";
|
746 |
|
|
when 1320 => rom_word <= "11001100";
|
747 |
|
|
when 1321 => rom_word <= "11001100";
|
748 |
|
|
when 1322 => rom_word <= "10001101";
|
749 |
|
|
when 1323 => rom_word <= "10001101";
|
750 |
|
|
when 1330 => rom_word <= "11111000";
|
751 |
|
|
when 1331 => rom_word <= "00001101";
|
752 |
|
|
when 1332 => rom_word <= "00001100";
|
753 |
|
|
when 1333 => rom_word <= "00011000";
|
754 |
|
|
when 1334 => rom_word <= "01110000";
|
755 |
|
|
when 1335 => rom_word <= "11000000";
|
756 |
|
|
when 1336 => rom_word <= "10000001";
|
757 |
|
|
when 1337 => rom_word <= "10000001";
|
758 |
|
|
when 1338 => rom_word <= "10000101";
|
759 |
|
|
when 1339 => rom_word <= "11111000";
|
760 |
|
|
when 1346 => rom_word <= "11111001";
|
761 |
|
|
when 1347 => rom_word <= "11111001";
|
762 |
|
|
when 1348 => rom_word <= "01100000";
|
763 |
|
|
when 1349 => rom_word <= "01100000";
|
764 |
|
|
when 1350 => rom_word <= "01100000";
|
765 |
|
|
when 1351 => rom_word <= "01100000";
|
766 |
|
|
when 1352 => rom_word <= "01100000";
|
767 |
|
|
when 1353 => rom_word <= "01100000";
|
768 |
|
|
when 1354 => rom_word <= "01100000";
|
769 |
|
|
when 1355 => rom_word <= "01100000";
|
770 |
|
|
when 1362 => rom_word <= "10001101";
|
771 |
|
|
when 1363 => rom_word <= "10001101";
|
772 |
|
|
when 1364 => rom_word <= "10001101";
|
773 |
|
|
when 1365 => rom_word <= "10001101";
|
774 |
|
|
when 1366 => rom_word <= "10001101";
|
775 |
|
|
when 1367 => rom_word <= "10001101";
|
776 |
|
|
when 1368 => rom_word <= "10001101";
|
777 |
|
|
when 1369 => rom_word <= "10001101";
|
778 |
|
|
when 1370 => rom_word <= "10001101";
|
779 |
|
|
when 1371 => rom_word <= "11111000";
|
780 |
|
|
when 1378 => rom_word <= "10001101";
|
781 |
|
|
when 1379 => rom_word <= "10001101";
|
782 |
|
|
when 1380 => rom_word <= "10001101";
|
783 |
|
|
when 1381 => rom_word <= "10001101";
|
784 |
|
|
when 1382 => rom_word <= "10001101";
|
785 |
|
|
when 1383 => rom_word <= "10001101";
|
786 |
|
|
when 1384 => rom_word <= "10001101";
|
787 |
|
|
when 1385 => rom_word <= "11011000";
|
788 |
|
|
when 1386 => rom_word <= "01110000";
|
789 |
|
|
when 1387 => rom_word <= "00100000";
|
790 |
|
|
when 1394 => rom_word <= "10001101";
|
791 |
|
|
when 1395 => rom_word <= "10001101";
|
792 |
|
|
when 1396 => rom_word <= "10001101";
|
793 |
|
|
when 1397 => rom_word <= "10001101";
|
794 |
|
|
when 1398 => rom_word <= "10101101";
|
795 |
|
|
when 1399 => rom_word <= "10101101";
|
796 |
|
|
when 1400 => rom_word <= "10101101";
|
797 |
|
|
when 1401 => rom_word <= "11111101";
|
798 |
|
|
when 1402 => rom_word <= "11011101";
|
799 |
|
|
when 1403 => rom_word <= "11011000";
|
800 |
|
|
when 1410 => rom_word <= "10001101";
|
801 |
|
|
when 1411 => rom_word <= "10001101";
|
802 |
|
|
when 1412 => rom_word <= "11011000";
|
803 |
|
|
when 1413 => rom_word <= "11111000";
|
804 |
|
|
when 1414 => rom_word <= "01110000";
|
805 |
|
|
when 1415 => rom_word <= "01110000";
|
806 |
|
|
when 1416 => rom_word <= "11111000";
|
807 |
|
|
when 1417 => rom_word <= "11011000";
|
808 |
|
|
when 1418 => rom_word <= "10001101";
|
809 |
|
|
when 1419 => rom_word <= "10001101";
|
810 |
|
|
when 1426 => rom_word <= "10011001";
|
811 |
|
|
when 1427 => rom_word <= "10011001";
|
812 |
|
|
when 1428 => rom_word <= "10011001";
|
813 |
|
|
when 1429 => rom_word <= "10011001";
|
814 |
|
|
when 1430 => rom_word <= "11110000";
|
815 |
|
|
when 1431 => rom_word <= "01100000";
|
816 |
|
|
when 1432 => rom_word <= "01100000";
|
817 |
|
|
when 1433 => rom_word <= "01100000";
|
818 |
|
|
when 1434 => rom_word <= "01100000";
|
819 |
|
|
when 1435 => rom_word <= "01100000";
|
820 |
|
|
when 1442 => rom_word <= "11111101";
|
821 |
|
|
when 1443 => rom_word <= "10000001";
|
822 |
|
|
when 1444 => rom_word <= "10000001";
|
823 |
|
|
when 1445 => rom_word <= "11000000";
|
824 |
|
|
when 1446 => rom_word <= "01100000";
|
825 |
|
|
when 1447 => rom_word <= "00110000";
|
826 |
|
|
when 1448 => rom_word <= "00011000";
|
827 |
|
|
when 1449 => rom_word <= "00001100";
|
828 |
|
|
when 1450 => rom_word <= "00001100";
|
829 |
|
|
when 1451 => rom_word <= "11111101";
|
830 |
|
|
when 1458 => rom_word <= "11110000";
|
831 |
|
|
when 1459 => rom_word <= "00110000";
|
832 |
|
|
when 1460 => rom_word <= "00110000";
|
833 |
|
|
when 1461 => rom_word <= "00110000";
|
834 |
|
|
when 1462 => rom_word <= "00110000";
|
835 |
|
|
when 1463 => rom_word <= "00110000";
|
836 |
|
|
when 1464 => rom_word <= "00110000";
|
837 |
|
|
when 1465 => rom_word <= "00110000";
|
838 |
|
|
when 1466 => rom_word <= "00110000";
|
839 |
|
|
when 1467 => rom_word <= "11110000";
|
840 |
|
|
when 1475 => rom_word <= "00000100";
|
841 |
|
|
when 1476 => rom_word <= "00001100";
|
842 |
|
|
when 1477 => rom_word <= "00011100";
|
843 |
|
|
when 1478 => rom_word <= "00111000";
|
844 |
|
|
when 1479 => rom_word <= "01110000";
|
845 |
|
|
when 1480 => rom_word <= "11100000";
|
846 |
|
|
when 1481 => rom_word <= "11000001";
|
847 |
|
|
when 1482 => rom_word <= "10000001";
|
848 |
|
|
when 1483 => rom_word <= "00000001";
|
849 |
|
|
when 1490 => rom_word <= "11110000";
|
850 |
|
|
when 1491 => rom_word <= "11000000";
|
851 |
|
|
when 1492 => rom_word <= "11000000";
|
852 |
|
|
when 1493 => rom_word <= "11000000";
|
853 |
|
|
when 1494 => rom_word <= "11000000";
|
854 |
|
|
when 1495 => rom_word <= "11000000";
|
855 |
|
|
when 1496 => rom_word <= "11000000";
|
856 |
|
|
when 1497 => rom_word <= "11000000";
|
857 |
|
|
when 1498 => rom_word <= "11000000";
|
858 |
|
|
when 1499 => rom_word <= "11110000";
|
859 |
|
|
when 1504 => rom_word <= "00100000";
|
860 |
|
|
when 1505 => rom_word <= "01110000";
|
861 |
|
|
when 1506 => rom_word <= "11011000";
|
862 |
|
|
when 1507 => rom_word <= "10001101";
|
863 |
|
|
when 1533 => rom_word <= "11111111";
|
864 |
|
|
when 1537 => rom_word <= "00110000";
|
865 |
|
|
when 1538 => rom_word <= "01100000";
|
866 |
|
|
when 1539 => rom_word <= "11000000";
|
867 |
|
|
when 1557 => rom_word <= "01111000";
|
868 |
|
|
when 1558 => rom_word <= "11000000";
|
869 |
|
|
when 1559 => rom_word <= "11111000";
|
870 |
|
|
when 1560 => rom_word <= "11001100";
|
871 |
|
|
when 1561 => rom_word <= "11001100";
|
872 |
|
|
when 1562 => rom_word <= "11001100";
|
873 |
|
|
when 1563 => rom_word <= "10111001";
|
874 |
|
|
when 1570 => rom_word <= "00001100";
|
875 |
|
|
when 1571 => rom_word <= "00001100";
|
876 |
|
|
when 1572 => rom_word <= "00001100";
|
877 |
|
|
when 1573 => rom_word <= "01111100";
|
878 |
|
|
when 1574 => rom_word <= "11001100";
|
879 |
|
|
when 1575 => rom_word <= "11001100";
|
880 |
|
|
when 1576 => rom_word <= "11001100";
|
881 |
|
|
when 1577 => rom_word <= "11001100";
|
882 |
|
|
when 1578 => rom_word <= "11001100";
|
883 |
|
|
when 1579 => rom_word <= "01111100";
|
884 |
|
|
when 1589 => rom_word <= "01111000";
|
885 |
|
|
when 1590 => rom_word <= "10001100";
|
886 |
|
|
when 1591 => rom_word <= "00001100";
|
887 |
|
|
when 1592 => rom_word <= "00001100";
|
888 |
|
|
when 1593 => rom_word <= "00001100";
|
889 |
|
|
when 1594 => rom_word <= "10001100";
|
890 |
|
|
when 1595 => rom_word <= "01111000";
|
891 |
|
|
when 1602 => rom_word <= "11000000";
|
892 |
|
|
when 1603 => rom_word <= "11000000";
|
893 |
|
|
when 1604 => rom_word <= "11000000";
|
894 |
|
|
when 1605 => rom_word <= "11111000";
|
895 |
|
|
when 1606 => rom_word <= "11001100";
|
896 |
|
|
when 1607 => rom_word <= "11001100";
|
897 |
|
|
when 1608 => rom_word <= "11001100";
|
898 |
|
|
when 1609 => rom_word <= "11001100";
|
899 |
|
|
when 1610 => rom_word <= "11001100";
|
900 |
|
|
when 1611 => rom_word <= "11111000";
|
901 |
|
|
when 1621 => rom_word <= "11111000";
|
902 |
|
|
when 1622 => rom_word <= "10001101";
|
903 |
|
|
when 1623 => rom_word <= "11111101";
|
904 |
|
|
when 1624 => rom_word <= "00001100";
|
905 |
|
|
when 1625 => rom_word <= "00001100";
|
906 |
|
|
when 1626 => rom_word <= "00001101";
|
907 |
|
|
when 1627 => rom_word <= "11111000";
|
908 |
|
|
when 1634 => rom_word <= "11100000";
|
909 |
|
|
when 1635 => rom_word <= "10110001";
|
910 |
|
|
when 1636 => rom_word <= "00110001";
|
911 |
|
|
when 1637 => rom_word <= "00110000";
|
912 |
|
|
when 1638 => rom_word <= "01111000";
|
913 |
|
|
when 1639 => rom_word <= "00110000";
|
914 |
|
|
when 1640 => rom_word <= "00110000";
|
915 |
|
|
when 1641 => rom_word <= "00110000";
|
916 |
|
|
when 1642 => rom_word <= "00110000";
|
917 |
|
|
when 1643 => rom_word <= "00110000";
|
918 |
|
|
when 1653 => rom_word <= "11111000";
|
919 |
|
|
when 1654 => rom_word <= "11001100";
|
920 |
|
|
when 1655 => rom_word <= "11001100";
|
921 |
|
|
when 1656 => rom_word <= "11001100";
|
922 |
|
|
when 1657 => rom_word <= "11001100";
|
923 |
|
|
when 1658 => rom_word <= "11001100";
|
924 |
|
|
when 1659 => rom_word <= "11111000";
|
925 |
|
|
when 1660 => rom_word <= "11000000";
|
926 |
|
|
when 1661 => rom_word <= "11000100";
|
927 |
|
|
when 1662 => rom_word <= "01111000";
|
928 |
|
|
when 1666 => rom_word <= "00001100";
|
929 |
|
|
when 1667 => rom_word <= "00001100";
|
930 |
|
|
when 1668 => rom_word <= "00001100";
|
931 |
|
|
when 1669 => rom_word <= "01101100";
|
932 |
|
|
when 1670 => rom_word <= "11011100";
|
933 |
|
|
when 1671 => rom_word <= "11001100";
|
934 |
|
|
when 1672 => rom_word <= "11001100";
|
935 |
|
|
when 1673 => rom_word <= "11001100";
|
936 |
|
|
when 1674 => rom_word <= "11001100";
|
937 |
|
|
when 1675 => rom_word <= "11001100";
|
938 |
|
|
when 1682 => rom_word <= "01100000";
|
939 |
|
|
when 1683 => rom_word <= "01100000";
|
940 |
|
|
when 1685 => rom_word <= "01110000";
|
941 |
|
|
when 1686 => rom_word <= "01100000";
|
942 |
|
|
when 1687 => rom_word <= "01100000";
|
943 |
|
|
when 1688 => rom_word <= "01100000";
|
944 |
|
|
when 1689 => rom_word <= "01100000";
|
945 |
|
|
when 1690 => rom_word <= "01100000";
|
946 |
|
|
when 1691 => rom_word <= "01100000";
|
947 |
|
|
when 1698 => rom_word <= "11000000";
|
948 |
|
|
when 1699 => rom_word <= "11000000";
|
949 |
|
|
when 1701 => rom_word <= "11000000";
|
950 |
|
|
when 1702 => rom_word <= "11000000";
|
951 |
|
|
when 1703 => rom_word <= "11000000";
|
952 |
|
|
when 1704 => rom_word <= "11000000";
|
953 |
|
|
when 1705 => rom_word <= "11000000";
|
954 |
|
|
when 1706 => rom_word <= "11000000";
|
955 |
|
|
when 1707 => rom_word <= "11000000";
|
956 |
|
|
when 1708 => rom_word <= "11001100";
|
957 |
|
|
when 1709 => rom_word <= "11001100";
|
958 |
|
|
when 1710 => rom_word <= "01111000";
|
959 |
|
|
when 1714 => rom_word <= "00001100";
|
960 |
|
|
when 1715 => rom_word <= "00001100";
|
961 |
|
|
when 1716 => rom_word <= "00001100";
|
962 |
|
|
when 1717 => rom_word <= "11001100";
|
963 |
|
|
when 1718 => rom_word <= "01101100";
|
964 |
|
|
when 1719 => rom_word <= "00111100";
|
965 |
|
|
when 1720 => rom_word <= "00111100";
|
966 |
|
|
when 1721 => rom_word <= "01101100";
|
967 |
|
|
when 1722 => rom_word <= "11001100";
|
968 |
|
|
when 1723 => rom_word <= "11001100";
|
969 |
|
|
when 1730 => rom_word <= "01110000";
|
970 |
|
|
when 1731 => rom_word <= "01100000";
|
971 |
|
|
when 1732 => rom_word <= "01100000";
|
972 |
|
|
when 1733 => rom_word <= "01100000";
|
973 |
|
|
when 1734 => rom_word <= "01100000";
|
974 |
|
|
when 1735 => rom_word <= "01100000";
|
975 |
|
|
when 1736 => rom_word <= "01100000";
|
976 |
|
|
when 1737 => rom_word <= "01100000";
|
977 |
|
|
when 1738 => rom_word <= "01100000";
|
978 |
|
|
when 1739 => rom_word <= "01100000";
|
979 |
|
|
when 1749 => rom_word <= "11011100";
|
980 |
|
|
when 1750 => rom_word <= "11111101";
|
981 |
|
|
when 1751 => rom_word <= "10101101";
|
982 |
|
|
when 1752 => rom_word <= "10101101";
|
983 |
|
|
when 1753 => rom_word <= "10101101";
|
984 |
|
|
when 1754 => rom_word <= "10101101";
|
985 |
|
|
when 1755 => rom_word <= "10001101";
|
986 |
|
|
when 1765 => rom_word <= "01110100";
|
987 |
|
|
when 1766 => rom_word <= "11001100";
|
988 |
|
|
when 1767 => rom_word <= "11001100";
|
989 |
|
|
when 1768 => rom_word <= "11001100";
|
990 |
|
|
when 1769 => rom_word <= "11001100";
|
991 |
|
|
when 1770 => rom_word <= "11001100";
|
992 |
|
|
when 1771 => rom_word <= "11001100";
|
993 |
|
|
when 1781 => rom_word <= "01111000";
|
994 |
|
|
when 1782 => rom_word <= "11001100";
|
995 |
|
|
when 1783 => rom_word <= "11001100";
|
996 |
|
|
when 1784 => rom_word <= "11001100";
|
997 |
|
|
when 1785 => rom_word <= "11001100";
|
998 |
|
|
when 1786 => rom_word <= "11001100";
|
999 |
|
|
when 1787 => rom_word <= "01111000";
|
1000 |
|
|
when 1797 => rom_word <= "01111100";
|
1001 |
|
|
when 1798 => rom_word <= "11001100";
|
1002 |
|
|
when 1799 => rom_word <= "11001100";
|
1003 |
|
|
when 1800 => rom_word <= "11001100";
|
1004 |
|
|
when 1801 => rom_word <= "11001100";
|
1005 |
|
|
when 1802 => rom_word <= "11001100";
|
1006 |
|
|
when 1803 => rom_word <= "01111100";
|
1007 |
|
|
when 1804 => rom_word <= "00001100";
|
1008 |
|
|
when 1805 => rom_word <= "00001100";
|
1009 |
|
|
when 1806 => rom_word <= "00001100";
|
1010 |
|
|
when 1813 => rom_word <= "11111000";
|
1011 |
|
|
when 1814 => rom_word <= "11001100";
|
1012 |
|
|
when 1815 => rom_word <= "11001100";
|
1013 |
|
|
when 1816 => rom_word <= "11001100";
|
1014 |
|
|
when 1817 => rom_word <= "11001100";
|
1015 |
|
|
when 1818 => rom_word <= "11001100";
|
1016 |
|
|
when 1819 => rom_word <= "11111000";
|
1017 |
|
|
when 1820 => rom_word <= "11000000";
|
1018 |
|
|
when 1821 => rom_word <= "11000000";
|
1019 |
|
|
when 1822 => rom_word <= "11000000";
|
1020 |
|
|
when 1829 => rom_word <= "01110100";
|
1021 |
|
|
when 1830 => rom_word <= "11011100";
|
1022 |
|
|
when 1831 => rom_word <= "11001100";
|
1023 |
|
|
when 1832 => rom_word <= "00001100";
|
1024 |
|
|
when 1833 => rom_word <= "00001100";
|
1025 |
|
|
when 1834 => rom_word <= "00001100";
|
1026 |
|
|
when 1835 => rom_word <= "00001100";
|
1027 |
|
|
when 1845 => rom_word <= "11111000";
|
1028 |
|
|
when 1846 => rom_word <= "00001101";
|
1029 |
|
|
when 1847 => rom_word <= "00111000";
|
1030 |
|
|
when 1848 => rom_word <= "11100000";
|
1031 |
|
|
when 1849 => rom_word <= "10000001";
|
1032 |
|
|
when 1850 => rom_word <= "10000101";
|
1033 |
|
|
when 1851 => rom_word <= "11111000";
|
1034 |
|
|
when 1858 => rom_word <= "00110000";
|
1035 |
|
|
when 1859 => rom_word <= "00110000";
|
1036 |
|
|
when 1860 => rom_word <= "00110000";
|
1037 |
|
|
when 1861 => rom_word <= "11111100";
|
1038 |
|
|
when 1862 => rom_word <= "00110000";
|
1039 |
|
|
when 1863 => rom_word <= "00110000";
|
1040 |
|
|
when 1864 => rom_word <= "00110000";
|
1041 |
|
|
when 1865 => rom_word <= "00110000";
|
1042 |
|
|
when 1866 => rom_word <= "00110000";
|
1043 |
|
|
when 1867 => rom_word <= "00110000";
|
1044 |
|
|
when 1877 => rom_word <= "11001100";
|
1045 |
|
|
when 1878 => rom_word <= "11001100";
|
1046 |
|
|
when 1879 => rom_word <= "11001100";
|
1047 |
|
|
when 1880 => rom_word <= "11001100";
|
1048 |
|
|
when 1881 => rom_word <= "11001100";
|
1049 |
|
|
when 1882 => rom_word <= "11001100";
|
1050 |
|
|
when 1883 => rom_word <= "01111000";
|
1051 |
|
|
when 1893 => rom_word <= "10001101";
|
1052 |
|
|
when 1894 => rom_word <= "10001101";
|
1053 |
|
|
when 1895 => rom_word <= "10001101";
|
1054 |
|
|
when 1896 => rom_word <= "10001101";
|
1055 |
|
|
when 1897 => rom_word <= "10001101";
|
1056 |
|
|
when 1898 => rom_word <= "11011000";
|
1057 |
|
|
when 1899 => rom_word <= "00100000";
|
1058 |
|
|
when 1909 => rom_word <= "10001101";
|
1059 |
|
|
when 1910 => rom_word <= "10001101";
|
1060 |
|
|
when 1911 => rom_word <= "10101101";
|
1061 |
|
|
when 1912 => rom_word <= "10101101";
|
1062 |
|
|
when 1913 => rom_word <= "10101101";
|
1063 |
|
|
when 1914 => rom_word <= "11111101";
|
1064 |
|
|
when 1915 => rom_word <= "11011000";
|
1065 |
|
|
when 1925 => rom_word <= "10001101";
|
1066 |
|
|
when 1926 => rom_word <= "11011000";
|
1067 |
|
|
when 1927 => rom_word <= "01110000";
|
1068 |
|
|
when 1928 => rom_word <= "01110000";
|
1069 |
|
|
when 1929 => rom_word <= "01110000";
|
1070 |
|
|
when 1930 => rom_word <= "11011000";
|
1071 |
|
|
when 1931 => rom_word <= "10001101";
|
1072 |
|
|
when 1941 => rom_word <= "10001101";
|
1073 |
|
|
when 1942 => rom_word <= "10001101";
|
1074 |
|
|
when 1943 => rom_word <= "10001101";
|
1075 |
|
|
when 1944 => rom_word <= "10001101";
|
1076 |
|
|
when 1945 => rom_word <= "10001101";
|
1077 |
|
|
when 1946 => rom_word <= "11001101";
|
1078 |
|
|
when 1947 => rom_word <= "10111001";
|
1079 |
|
|
when 1948 => rom_word <= "10000001";
|
1080 |
|
|
when 1949 => rom_word <= "11000100";
|
1081 |
|
|
when 1950 => rom_word <= "01111000";
|
1082 |
|
|
when 1957 => rom_word <= "11111101";
|
1083 |
|
|
when 1958 => rom_word <= "11000000";
|
1084 |
|
|
when 1959 => rom_word <= "01100000";
|
1085 |
|
|
when 1960 => rom_word <= "00110000";
|
1086 |
|
|
when 1961 => rom_word <= "00011000";
|
1087 |
|
|
when 1962 => rom_word <= "00001100";
|
1088 |
|
|
when 1963 => rom_word <= "11111101";
|
1089 |
|
|
when 1970 => rom_word <= "11000001";
|
1090 |
|
|
when 1971 => rom_word <= "01100000";
|
1091 |
|
|
when 1972 => rom_word <= "01100000";
|
1092 |
|
|
when 1973 => rom_word <= "01100000";
|
1093 |
|
|
when 1974 => rom_word <= "00111000";
|
1094 |
|
|
when 1975 => rom_word <= "01100000";
|
1095 |
|
|
when 1976 => rom_word <= "01100000";
|
1096 |
|
|
when 1977 => rom_word <= "01100000";
|
1097 |
|
|
when 1978 => rom_word <= "01100000";
|
1098 |
|
|
when 1979 => rom_word <= "11000001";
|
1099 |
|
|
when 1986 => rom_word <= "01100000";
|
1100 |
|
|
when 1987 => rom_word <= "01100000";
|
1101 |
|
|
when 1988 => rom_word <= "01100000";
|
1102 |
|
|
when 1989 => rom_word <= "01100000";
|
1103 |
|
|
when 1990 => rom_word <= "01100000";
|
1104 |
|
|
when 1991 => rom_word <= "01100000";
|
1105 |
|
|
when 1992 => rom_word <= "01100000";
|
1106 |
|
|
when 1993 => rom_word <= "01100000";
|
1107 |
|
|
when 1994 => rom_word <= "01100000";
|
1108 |
|
|
when 1995 => rom_word <= "01100000";
|
1109 |
|
|
when 2002 => rom_word <= "00111000";
|
1110 |
|
|
when 2003 => rom_word <= "01100000";
|
1111 |
|
|
when 2004 => rom_word <= "01100000";
|
1112 |
|
|
when 2005 => rom_word <= "01100000";
|
1113 |
|
|
when 2006 => rom_word <= "11000001";
|
1114 |
|
|
when 2007 => rom_word <= "01100000";
|
1115 |
|
|
when 2008 => rom_word <= "01100000";
|
1116 |
|
|
when 2009 => rom_word <= "01100000";
|
1117 |
|
|
when 2010 => rom_word <= "01100000";
|
1118 |
|
|
when 2011 => rom_word <= "00111000";
|
1119 |
|
|
when 2017 => rom_word <= "10111001";
|
1120 |
|
|
when 2018 => rom_word <= "11101100";
|
1121 |
|
|
when 2036 => rom_word <= "00100000";
|
1122 |
|
|
when 2037 => rom_word <= "01110000";
|
1123 |
|
|
when 2038 => rom_word <= "11011000";
|
1124 |
|
|
when 2039 => rom_word <= "10001101";
|
1125 |
|
|
when 2040 => rom_word <= "10001101";
|
1126 |
|
|
when 2041 => rom_word <= "10001101";
|
1127 |
|
|
when 2042 => rom_word <= "11111101";
|
1128 |
|
|
when 2051 => rom_word <= "11110000";
|
1129 |
|
|
when 2052 => rom_word <= "10011001";
|
1130 |
|
|
when 2053 => rom_word <= "00001100";
|
1131 |
|
|
when 2054 => rom_word <= "00001100";
|
1132 |
|
|
when 2055 => rom_word <= "00001100";
|
1133 |
|
|
when 2056 => rom_word <= "10001101";
|
1134 |
|
|
when 2057 => rom_word <= "10011001";
|
1135 |
|
|
when 2058 => rom_word <= "11110000";
|
1136 |
|
|
when 2059 => rom_word <= "01100000";
|
1137 |
|
|
when 2060 => rom_word <= "11001100";
|
1138 |
|
|
when 2061 => rom_word <= "01110000";
|
1139 |
|
|
when 2066 => rom_word <= "11001100";
|
1140 |
|
|
when 2067 => rom_word <= "11001100";
|
1141 |
|
|
when 2069 => rom_word <= "11001100";
|
1142 |
|
|
when 2070 => rom_word <= "11001100";
|
1143 |
|
|
when 2071 => rom_word <= "11001100";
|
1144 |
|
|
when 2072 => rom_word <= "11001100";
|
1145 |
|
|
when 2073 => rom_word <= "11001100";
|
1146 |
|
|
when 2074 => rom_word <= "11001100";
|
1147 |
|
|
when 2075 => rom_word <= "01111000";
|
1148 |
|
|
when 2081 => rom_word <= "11000000";
|
1149 |
|
|
when 2082 => rom_word <= "01100000";
|
1150 |
|
|
when 2083 => rom_word <= "00110000";
|
1151 |
|
|
when 2085 => rom_word <= "11111000";
|
1152 |
|
|
when 2086 => rom_word <= "10001101";
|
1153 |
|
|
when 2087 => rom_word <= "11111101";
|
1154 |
|
|
when 2088 => rom_word <= "00001100";
|
1155 |
|
|
when 2089 => rom_word <= "00001100";
|
1156 |
|
|
when 2090 => rom_word <= "00001101";
|
1157 |
|
|
when 2091 => rom_word <= "11111000";
|
1158 |
|
|
when 2097 => rom_word <= "00100000";
|
1159 |
|
|
when 2098 => rom_word <= "01110000";
|
1160 |
|
|
when 2099 => rom_word <= "11011000";
|
1161 |
|
|
when 2101 => rom_word <= "01111000";
|
1162 |
|
|
when 2102 => rom_word <= "11000000";
|
1163 |
|
|
when 2103 => rom_word <= "11111000";
|
1164 |
|
|
when 2104 => rom_word <= "11001100";
|
1165 |
|
|
when 2105 => rom_word <= "11001100";
|
1166 |
|
|
when 2106 => rom_word <= "11001100";
|
1167 |
|
|
when 2107 => rom_word <= "10111001";
|
1168 |
|
|
when 2114 => rom_word <= "11001100";
|
1169 |
|
|
when 2117 => rom_word <= "01111000";
|
1170 |
|
|
when 2118 => rom_word <= "11000000";
|
1171 |
|
|
when 2119 => rom_word <= "11111000";
|
1172 |
|
|
when 2120 => rom_word <= "11001100";
|
1173 |
|
|
when 2121 => rom_word <= "11001100";
|
1174 |
|
|
when 2122 => rom_word <= "11001100";
|
1175 |
|
|
when 2123 => rom_word <= "10111001";
|
1176 |
|
|
when 2129 => rom_word <= "00011000";
|
1177 |
|
|
when 2130 => rom_word <= "00110000";
|
1178 |
|
|
when 2131 => rom_word <= "01100000";
|
1179 |
|
|
when 2133 => rom_word <= "01111000";
|
1180 |
|
|
when 2134 => rom_word <= "11000000";
|
1181 |
|
|
when 2135 => rom_word <= "11111000";
|
1182 |
|
|
when 2136 => rom_word <= "11001100";
|
1183 |
|
|
when 2137 => rom_word <= "11001100";
|
1184 |
|
|
when 2138 => rom_word <= "11001100";
|
1185 |
|
|
when 2139 => rom_word <= "10111001";
|
1186 |
|
|
when 2145 => rom_word <= "01110000";
|
1187 |
|
|
when 2146 => rom_word <= "11011000";
|
1188 |
|
|
when 2147 => rom_word <= "01110000";
|
1189 |
|
|
when 2149 => rom_word <= "01111000";
|
1190 |
|
|
when 2150 => rom_word <= "11000000";
|
1191 |
|
|
when 2151 => rom_word <= "11111000";
|
1192 |
|
|
when 2152 => rom_word <= "11001100";
|
1193 |
|
|
when 2153 => rom_word <= "11001100";
|
1194 |
|
|
when 2154 => rom_word <= "11001100";
|
1195 |
|
|
when 2155 => rom_word <= "10111001";
|
1196 |
|
|
when 2165 => rom_word <= "11111000";
|
1197 |
|
|
when 2166 => rom_word <= "10001101";
|
1198 |
|
|
when 2167 => rom_word <= "00001100";
|
1199 |
|
|
when 2168 => rom_word <= "00001100";
|
1200 |
|
|
when 2169 => rom_word <= "00001100";
|
1201 |
|
|
when 2170 => rom_word <= "10001101";
|
1202 |
|
|
when 2171 => rom_word <= "11111000";
|
1203 |
|
|
when 2172 => rom_word <= "01100000";
|
1204 |
|
|
when 2173 => rom_word <= "00111000";
|
1205 |
|
|
when 2177 => rom_word <= "00100000";
|
1206 |
|
|
when 2178 => rom_word <= "01110000";
|
1207 |
|
|
when 2179 => rom_word <= "11011000";
|
1208 |
|
|
when 2181 => rom_word <= "11111000";
|
1209 |
|
|
when 2182 => rom_word <= "10001101";
|
1210 |
|
|
when 2183 => rom_word <= "11111101";
|
1211 |
|
|
when 2184 => rom_word <= "00001100";
|
1212 |
|
|
when 2185 => rom_word <= "00001100";
|
1213 |
|
|
when 2186 => rom_word <= "00001101";
|
1214 |
|
|
when 2187 => rom_word <= "11111000";
|
1215 |
|
|
when 2194 => rom_word <= "10001101";
|
1216 |
|
|
when 2197 => rom_word <= "11111000";
|
1217 |
|
|
when 2198 => rom_word <= "10001101";
|
1218 |
|
|
when 2199 => rom_word <= "11111101";
|
1219 |
|
|
when 2200 => rom_word <= "00001100";
|
1220 |
|
|
when 2201 => rom_word <= "00001100";
|
1221 |
|
|
when 2202 => rom_word <= "00001101";
|
1222 |
|
|
when 2203 => rom_word <= "11111000";
|
1223 |
|
|
when 2209 => rom_word <= "00011000";
|
1224 |
|
|
when 2210 => rom_word <= "00110000";
|
1225 |
|
|
when 2211 => rom_word <= "01100000";
|
1226 |
|
|
when 2213 => rom_word <= "11111000";
|
1227 |
|
|
when 2214 => rom_word <= "10001101";
|
1228 |
|
|
when 2215 => rom_word <= "11111101";
|
1229 |
|
|
when 2216 => rom_word <= "00001100";
|
1230 |
|
|
when 2217 => rom_word <= "00001100";
|
1231 |
|
|
when 2218 => rom_word <= "00001101";
|
1232 |
|
|
when 2219 => rom_word <= "11111000";
|
1233 |
|
|
when 2226 => rom_word <= "10011001";
|
1234 |
|
|
when 2229 => rom_word <= "01110000";
|
1235 |
|
|
when 2230 => rom_word <= "01100000";
|
1236 |
|
|
when 2231 => rom_word <= "01100000";
|
1237 |
|
|
when 2232 => rom_word <= "01100000";
|
1238 |
|
|
when 2233 => rom_word <= "01100000";
|
1239 |
|
|
when 2234 => rom_word <= "01100000";
|
1240 |
|
|
when 2235 => rom_word <= "01100000";
|
1241 |
|
|
when 2241 => rom_word <= "01100000";
|
1242 |
|
|
when 2242 => rom_word <= "11110000";
|
1243 |
|
|
when 2243 => rom_word <= "10011001";
|
1244 |
|
|
when 2245 => rom_word <= "01110000";
|
1245 |
|
|
when 2246 => rom_word <= "01100000";
|
1246 |
|
|
when 2247 => rom_word <= "01100000";
|
1247 |
|
|
when 2248 => rom_word <= "01100000";
|
1248 |
|
|
when 2249 => rom_word <= "01100000";
|
1249 |
|
|
when 2250 => rom_word <= "01100000";
|
1250 |
|
|
when 2251 => rom_word <= "01100000";
|
1251 |
|
|
when 2257 => rom_word <= "00011000";
|
1252 |
|
|
when 2258 => rom_word <= "00110000";
|
1253 |
|
|
when 2259 => rom_word <= "01100000";
|
1254 |
|
|
when 2261 => rom_word <= "01110000";
|
1255 |
|
|
when 2262 => rom_word <= "01100000";
|
1256 |
|
|
when 2263 => rom_word <= "01100000";
|
1257 |
|
|
when 2264 => rom_word <= "01100000";
|
1258 |
|
|
when 2265 => rom_word <= "01100000";
|
1259 |
|
|
when 2266 => rom_word <= "01100000";
|
1260 |
|
|
when 2267 => rom_word <= "01100000";
|
1261 |
|
|
when 2273 => rom_word <= "10001101";
|
1262 |
|
|
when 2275 => rom_word <= "00100000";
|
1263 |
|
|
when 2276 => rom_word <= "01110000";
|
1264 |
|
|
when 2277 => rom_word <= "11011000";
|
1265 |
|
|
when 2278 => rom_word <= "10001101";
|
1266 |
|
|
when 2279 => rom_word <= "10001101";
|
1267 |
|
|
when 2280 => rom_word <= "11111101";
|
1268 |
|
|
when 2281 => rom_word <= "10001101";
|
1269 |
|
|
when 2282 => rom_word <= "10001101";
|
1270 |
|
|
when 2283 => rom_word <= "10001101";
|
1271 |
|
|
when 2288 => rom_word <= "01110000";
|
1272 |
|
|
when 2289 => rom_word <= "11011000";
|
1273 |
|
|
when 2290 => rom_word <= "01110000";
|
1274 |
|
|
when 2291 => rom_word <= "00100000";
|
1275 |
|
|
when 2292 => rom_word <= "01110000";
|
1276 |
|
|
when 2293 => rom_word <= "11011000";
|
1277 |
|
|
when 2294 => rom_word <= "10001101";
|
1278 |
|
|
when 2295 => rom_word <= "11111101";
|
1279 |
|
|
when 2296 => rom_word <= "10001101";
|
1280 |
|
|
when 2297 => rom_word <= "10001101";
|
1281 |
|
|
when 2298 => rom_word <= "10001101";
|
1282 |
|
|
when 2299 => rom_word <= "10001101";
|
1283 |
|
|
when 2304 => rom_word <= "01100000";
|
1284 |
|
|
when 2305 => rom_word <= "00110000";
|
1285 |
|
|
when 2307 => rom_word <= "11111100";
|
1286 |
|
|
when 2308 => rom_word <= "00001100";
|
1287 |
|
|
when 2309 => rom_word <= "00001100";
|
1288 |
|
|
when 2310 => rom_word <= "00001100";
|
1289 |
|
|
when 2311 => rom_word <= "00111100";
|
1290 |
|
|
when 2312 => rom_word <= "00001100";
|
1291 |
|
|
when 2313 => rom_word <= "00001100";
|
1292 |
|
|
when 2314 => rom_word <= "00001100";
|
1293 |
|
|
when 2315 => rom_word <= "11111100";
|
1294 |
|
|
when 2325 => rom_word <= "11011100";
|
1295 |
|
|
when 2326 => rom_word <= "10110001";
|
1296 |
|
|
when 2327 => rom_word <= "10110001";
|
1297 |
|
|
when 2328 => rom_word <= "11111001";
|
1298 |
|
|
when 2329 => rom_word <= "01101100";
|
1299 |
|
|
when 2330 => rom_word <= "01101100";
|
1300 |
|
|
when 2331 => rom_word <= "11011001";
|
1301 |
|
|
when 2338 => rom_word <= "11110001";
|
1302 |
|
|
when 2339 => rom_word <= "11011000";
|
1303 |
|
|
when 2340 => rom_word <= "11001100";
|
1304 |
|
|
when 2341 => rom_word <= "11001100";
|
1305 |
|
|
when 2342 => rom_word <= "11111101";
|
1306 |
|
|
when 2343 => rom_word <= "11001100";
|
1307 |
|
|
when 2344 => rom_word <= "11001100";
|
1308 |
|
|
when 2345 => rom_word <= "11001100";
|
1309 |
|
|
when 2346 => rom_word <= "11001100";
|
1310 |
|
|
when 2347 => rom_word <= "11001101";
|
1311 |
|
|
when 2353 => rom_word <= "00100000";
|
1312 |
|
|
when 2354 => rom_word <= "01110000";
|
1313 |
|
|
when 2355 => rom_word <= "11011000";
|
1314 |
|
|
when 2357 => rom_word <= "11111000";
|
1315 |
|
|
when 2358 => rom_word <= "10001101";
|
1316 |
|
|
when 2359 => rom_word <= "10001101";
|
1317 |
|
|
when 2360 => rom_word <= "10001101";
|
1318 |
|
|
when 2361 => rom_word <= "10001101";
|
1319 |
|
|
when 2362 => rom_word <= "10001101";
|
1320 |
|
|
when 2363 => rom_word <= "11111000";
|
1321 |
|
|
when 2370 => rom_word <= "10001101";
|
1322 |
|
|
when 2373 => rom_word <= "11111000";
|
1323 |
|
|
when 2374 => rom_word <= "10001101";
|
1324 |
|
|
when 2375 => rom_word <= "10001101";
|
1325 |
|
|
when 2376 => rom_word <= "10001101";
|
1326 |
|
|
when 2377 => rom_word <= "10001101";
|
1327 |
|
|
when 2378 => rom_word <= "10001101";
|
1328 |
|
|
when 2379 => rom_word <= "11111000";
|
1329 |
|
|
when 2385 => rom_word <= "00011000";
|
1330 |
|
|
when 2386 => rom_word <= "00110000";
|
1331 |
|
|
when 2387 => rom_word <= "01100000";
|
1332 |
|
|
when 2389 => rom_word <= "11111000";
|
1333 |
|
|
when 2390 => rom_word <= "10001101";
|
1334 |
|
|
when 2391 => rom_word <= "10001101";
|
1335 |
|
|
when 2392 => rom_word <= "10001101";
|
1336 |
|
|
when 2393 => rom_word <= "10001101";
|
1337 |
|
|
when 2394 => rom_word <= "10001101";
|
1338 |
|
|
when 2395 => rom_word <= "11111000";
|
1339 |
|
|
when 2401 => rom_word <= "00110000";
|
1340 |
|
|
when 2402 => rom_word <= "01111000";
|
1341 |
|
|
when 2403 => rom_word <= "11001100";
|
1342 |
|
|
when 2405 => rom_word <= "11001100";
|
1343 |
|
|
when 2406 => rom_word <= "11001100";
|
1344 |
|
|
when 2407 => rom_word <= "11001100";
|
1345 |
|
|
when 2408 => rom_word <= "11001100";
|
1346 |
|
|
when 2409 => rom_word <= "11001100";
|
1347 |
|
|
when 2410 => rom_word <= "11001100";
|
1348 |
|
|
when 2411 => rom_word <= "01111000";
|
1349 |
|
|
when 2417 => rom_word <= "00011000";
|
1350 |
|
|
when 2418 => rom_word <= "00110000";
|
1351 |
|
|
when 2419 => rom_word <= "01100000";
|
1352 |
|
|
when 2421 => rom_word <= "11001100";
|
1353 |
|
|
when 2422 => rom_word <= "11001100";
|
1354 |
|
|
when 2423 => rom_word <= "11001100";
|
1355 |
|
|
when 2424 => rom_word <= "11001100";
|
1356 |
|
|
when 2425 => rom_word <= "11001100";
|
1357 |
|
|
when 2426 => rom_word <= "11001100";
|
1358 |
|
|
when 2427 => rom_word <= "01111000";
|
1359 |
|
|
when 2434 => rom_word <= "10001101";
|
1360 |
|
|
when 2437 => rom_word <= "10001101";
|
1361 |
|
|
when 2438 => rom_word <= "10001101";
|
1362 |
|
|
when 2439 => rom_word <= "10001101";
|
1363 |
|
|
when 2440 => rom_word <= "10001101";
|
1364 |
|
|
when 2441 => rom_word <= "10001101";
|
1365 |
|
|
when 2442 => rom_word <= "11001101";
|
1366 |
|
|
when 2443 => rom_word <= "10111001";
|
1367 |
|
|
when 2444 => rom_word <= "10000001";
|
1368 |
|
|
when 2445 => rom_word <= "11000100";
|
1369 |
|
|
when 2446 => rom_word <= "01111000";
|
1370 |
|
|
when 2449 => rom_word <= "10001101";
|
1371 |
|
|
when 2451 => rom_word <= "11111000";
|
1372 |
|
|
when 2452 => rom_word <= "10001101";
|
1373 |
|
|
when 2453 => rom_word <= "10001101";
|
1374 |
|
|
when 2454 => rom_word <= "10001101";
|
1375 |
|
|
when 2455 => rom_word <= "10001101";
|
1376 |
|
|
when 2456 => rom_word <= "10001101";
|
1377 |
|
|
when 2457 => rom_word <= "10001101";
|
1378 |
|
|
when 2458 => rom_word <= "10001101";
|
1379 |
|
|
when 2459 => rom_word <= "11111000";
|
1380 |
|
|
when 2465 => rom_word <= "10001101";
|
1381 |
|
|
when 2467 => rom_word <= "10001101";
|
1382 |
|
|
when 2468 => rom_word <= "10001101";
|
1383 |
|
|
when 2469 => rom_word <= "10001101";
|
1384 |
|
|
when 2470 => rom_word <= "10001101";
|
1385 |
|
|
when 2471 => rom_word <= "10001101";
|
1386 |
|
|
when 2472 => rom_word <= "10001101";
|
1387 |
|
|
when 2473 => rom_word <= "10001101";
|
1388 |
|
|
when 2474 => rom_word <= "10001101";
|
1389 |
|
|
when 2475 => rom_word <= "11111000";
|
1390 |
|
|
when 2481 => rom_word <= "01100000";
|
1391 |
|
|
when 2482 => rom_word <= "01100000";
|
1392 |
|
|
when 2483 => rom_word <= "11111000";
|
1393 |
|
|
when 2484 => rom_word <= "10001101";
|
1394 |
|
|
when 2485 => rom_word <= "00001100";
|
1395 |
|
|
when 2486 => rom_word <= "00001100";
|
1396 |
|
|
when 2487 => rom_word <= "00001100";
|
1397 |
|
|
when 2488 => rom_word <= "10001101";
|
1398 |
|
|
when 2489 => rom_word <= "11111000";
|
1399 |
|
|
when 2490 => rom_word <= "01100000";
|
1400 |
|
|
when 2491 => rom_word <= "01100000";
|
1401 |
|
|
when 2497 => rom_word <= "11110000";
|
1402 |
|
|
when 2498 => rom_word <= "00001001";
|
1403 |
|
|
when 2499 => rom_word <= "01100110";
|
1404 |
|
|
when 2500 => rom_word <= "10010110";
|
1405 |
|
|
when 2501 => rom_word <= "10010110";
|
1406 |
|
|
when 2502 => rom_word <= "00010110";
|
1407 |
|
|
when 2503 => rom_word <= "00010110";
|
1408 |
|
|
when 2504 => rom_word <= "10010110";
|
1409 |
|
|
when 2505 => rom_word <= "10010110";
|
1410 |
|
|
when 2506 => rom_word <= "01110110";
|
1411 |
|
|
when 2507 => rom_word <= "00001001";
|
1412 |
|
|
when 2508 => rom_word <= "11110000";
|
1413 |
|
|
when 2513 => rom_word <= "11110000";
|
1414 |
|
|
when 2514 => rom_word <= "00001001";
|
1415 |
|
|
when 2515 => rom_word <= "01110110";
|
1416 |
|
|
when 2516 => rom_word <= "10010110";
|
1417 |
|
|
when 2517 => rom_word <= "10010110";
|
1418 |
|
|
when 2518 => rom_word <= "01110110";
|
1419 |
|
|
when 2519 => rom_word <= "00110110";
|
1420 |
|
|
when 2520 => rom_word <= "01010110";
|
1421 |
|
|
when 2521 => rom_word <= "10010110";
|
1422 |
|
|
when 2522 => rom_word <= "10010110";
|
1423 |
|
|
when 2523 => rom_word <= "00001001";
|
1424 |
|
|
when 2524 => rom_word <= "11110000";
|
1425 |
|
|
when 2529 => rom_word <= "01111100";
|
1426 |
|
|
when 2530 => rom_word <= "11001100";
|
1427 |
|
|
when 2531 => rom_word <= "11001100";
|
1428 |
|
|
when 2532 => rom_word <= "01111100";
|
1429 |
|
|
when 2533 => rom_word <= "10001100";
|
1430 |
|
|
when 2534 => rom_word <= "11001100";
|
1431 |
|
|
when 2535 => rom_word <= "11101101";
|
1432 |
|
|
when 2536 => rom_word <= "11001100";
|
1433 |
|
|
when 2537 => rom_word <= "11001100";
|
1434 |
|
|
when 2538 => rom_word <= "11001100";
|
1435 |
|
|
when 2539 => rom_word <= "10001101";
|
1436 |
|
|
when 2545 => rom_word <= "11000001";
|
1437 |
|
|
when 2546 => rom_word <= "01100011";
|
1438 |
|
|
when 2547 => rom_word <= "01100000";
|
1439 |
|
|
when 2548 => rom_word <= "01100000";
|
1440 |
|
|
when 2549 => rom_word <= "01100000";
|
1441 |
|
|
when 2550 => rom_word <= "11111001";
|
1442 |
|
|
when 2551 => rom_word <= "01100000";
|
1443 |
|
|
when 2552 => rom_word <= "01100000";
|
1444 |
|
|
when 2553 => rom_word <= "01100000";
|
1445 |
|
|
when 2554 => rom_word <= "01101100";
|
1446 |
|
|
when 2555 => rom_word <= "00111000";
|
1447 |
|
|
when 2561 => rom_word <= "01100000";
|
1448 |
|
|
when 2562 => rom_word <= "00110000";
|
1449 |
|
|
when 2563 => rom_word <= "00011000";
|
1450 |
|
|
when 2565 => rom_word <= "01111000";
|
1451 |
|
|
when 2566 => rom_word <= "11000000";
|
1452 |
|
|
when 2567 => rom_word <= "11111000";
|
1453 |
|
|
when 2568 => rom_word <= "11001100";
|
1454 |
|
|
when 2569 => rom_word <= "11001100";
|
1455 |
|
|
when 2570 => rom_word <= "11001100";
|
1456 |
|
|
when 2571 => rom_word <= "10111001";
|
1457 |
|
|
when 2577 => rom_word <= "11000000";
|
1458 |
|
|
when 2578 => rom_word <= "01100000";
|
1459 |
|
|
when 2579 => rom_word <= "00110000";
|
1460 |
|
|
when 2581 => rom_word <= "01110000";
|
1461 |
|
|
when 2582 => rom_word <= "01100000";
|
1462 |
|
|
when 2583 => rom_word <= "01100000";
|
1463 |
|
|
when 2584 => rom_word <= "01100000";
|
1464 |
|
|
when 2585 => rom_word <= "01100000";
|
1465 |
|
|
when 2586 => rom_word <= "01100000";
|
1466 |
|
|
when 2587 => rom_word <= "01100000";
|
1467 |
|
|
when 2593 => rom_word <= "01100000";
|
1468 |
|
|
when 2594 => rom_word <= "00110000";
|
1469 |
|
|
when 2595 => rom_word <= "00011000";
|
1470 |
|
|
when 2597 => rom_word <= "11111000";
|
1471 |
|
|
when 2598 => rom_word <= "10001101";
|
1472 |
|
|
when 2599 => rom_word <= "10001101";
|
1473 |
|
|
when 2600 => rom_word <= "10001101";
|
1474 |
|
|
when 2601 => rom_word <= "10001101";
|
1475 |
|
|
when 2602 => rom_word <= "10001101";
|
1476 |
|
|
when 2603 => rom_word <= "11111000";
|
1477 |
|
|
when 2609 => rom_word <= "01100000";
|
1478 |
|
|
when 2610 => rom_word <= "00110000";
|
1479 |
|
|
when 2611 => rom_word <= "00011000";
|
1480 |
|
|
when 2613 => rom_word <= "11001100";
|
1481 |
|
|
when 2614 => rom_word <= "11001100";
|
1482 |
|
|
when 2615 => rom_word <= "11001100";
|
1483 |
|
|
when 2616 => rom_word <= "11001100";
|
1484 |
|
|
when 2617 => rom_word <= "11001100";
|
1485 |
|
|
when 2618 => rom_word <= "11001100";
|
1486 |
|
|
when 2619 => rom_word <= "01111000";
|
1487 |
|
|
when 2626 => rom_word <= "10111001";
|
1488 |
|
|
when 2627 => rom_word <= "11101100";
|
1489 |
|
|
when 2629 => rom_word <= "11101000";
|
1490 |
|
|
when 2630 => rom_word <= "10011001";
|
1491 |
|
|
when 2631 => rom_word <= "10011001";
|
1492 |
|
|
when 2632 => rom_word <= "10011001";
|
1493 |
|
|
when 2633 => rom_word <= "10011001";
|
1494 |
|
|
when 2634 => rom_word <= "10011001";
|
1495 |
|
|
when 2635 => rom_word <= "10011001";
|
1496 |
|
|
when 2640 => rom_word <= "10111001";
|
1497 |
|
|
when 2641 => rom_word <= "11101100";
|
1498 |
|
|
when 2643 => rom_word <= "10001101";
|
1499 |
|
|
when 2644 => rom_word <= "10011101";
|
1500 |
|
|
when 2645 => rom_word <= "10111101";
|
1501 |
|
|
when 2646 => rom_word <= "11111101";
|
1502 |
|
|
when 2647 => rom_word <= "11101101";
|
1503 |
|
|
when 2648 => rom_word <= "11001101";
|
1504 |
|
|
when 2649 => rom_word <= "10001101";
|
1505 |
|
|
when 2650 => rom_word <= "10001101";
|
1506 |
|
|
when 2651 => rom_word <= "10001101";
|
1507 |
|
|
when 2658 => rom_word <= "11110000";
|
1508 |
|
|
when 2659 => rom_word <= "11011000";
|
1509 |
|
|
when 2660 => rom_word <= "11011000";
|
1510 |
|
|
when 2661 => rom_word <= "11110001";
|
1511 |
|
|
when 2663 => rom_word <= "11111001";
|
1512 |
|
|
when 2674 => rom_word <= "01110000";
|
1513 |
|
|
when 2675 => rom_word <= "11011000";
|
1514 |
|
|
when 2676 => rom_word <= "11011000";
|
1515 |
|
|
when 2677 => rom_word <= "01110000";
|
1516 |
|
|
when 2679 => rom_word <= "11111000";
|
1517 |
|
|
when 2690 => rom_word <= "00110000";
|
1518 |
|
|
when 2691 => rom_word <= "00110000";
|
1519 |
|
|
when 2693 => rom_word <= "00110000";
|
1520 |
|
|
when 2694 => rom_word <= "00110000";
|
1521 |
|
|
when 2695 => rom_word <= "00011000";
|
1522 |
|
|
when 2696 => rom_word <= "00001100";
|
1523 |
|
|
when 2697 => rom_word <= "10001101";
|
1524 |
|
|
when 2698 => rom_word <= "10001101";
|
1525 |
|
|
when 2699 => rom_word <= "11111000";
|
1526 |
|
|
when 2710 => rom_word <= "11111101";
|
1527 |
|
|
when 2711 => rom_word <= "00001100";
|
1528 |
|
|
when 2712 => rom_word <= "00001100";
|
1529 |
|
|
when 2713 => rom_word <= "00001100";
|
1530 |
|
|
when 2714 => rom_word <= "00001100";
|
1531 |
|
|
when 2726 => rom_word <= "11111101";
|
1532 |
|
|
when 2727 => rom_word <= "10000001";
|
1533 |
|
|
when 2728 => rom_word <= "10000001";
|
1534 |
|
|
when 2729 => rom_word <= "10000001";
|
1535 |
|
|
when 2730 => rom_word <= "10000001";
|
1536 |
|
|
when 2737 => rom_word <= "00011000";
|
1537 |
|
|
when 2738 => rom_word <= "00011100";
|
1538 |
|
|
when 2739 => rom_word <= "00011001";
|
1539 |
|
|
when 2740 => rom_word <= "10011001";
|
1540 |
|
|
when 2741 => rom_word <= "11011000";
|
1541 |
|
|
when 2742 => rom_word <= "01100000";
|
1542 |
|
|
when 2743 => rom_word <= "00110000";
|
1543 |
|
|
when 2744 => rom_word <= "00011000";
|
1544 |
|
|
when 2745 => rom_word <= "11101100";
|
1545 |
|
|
when 2746 => rom_word <= "10000101";
|
1546 |
|
|
when 2747 => rom_word <= "11000000";
|
1547 |
|
|
when 2748 => rom_word <= "01100000";
|
1548 |
|
|
when 2749 => rom_word <= "11110001";
|
1549 |
|
|
when 2753 => rom_word <= "00011000";
|
1550 |
|
|
when 2754 => rom_word <= "00011100";
|
1551 |
|
|
when 2755 => rom_word <= "00011001";
|
1552 |
|
|
when 2756 => rom_word <= "10011001";
|
1553 |
|
|
when 2757 => rom_word <= "11011000";
|
1554 |
|
|
when 2758 => rom_word <= "01100000";
|
1555 |
|
|
when 2759 => rom_word <= "00110000";
|
1556 |
|
|
when 2760 => rom_word <= "10011001";
|
1557 |
|
|
when 2761 => rom_word <= "11001101";
|
1558 |
|
|
when 2762 => rom_word <= "01100101";
|
1559 |
|
|
when 2763 => rom_word <= "11110011";
|
1560 |
|
|
when 2764 => rom_word <= "10000001";
|
1561 |
|
|
when 2765 => rom_word <= "10000001";
|
1562 |
|
|
when 2770 => rom_word <= "01100000";
|
1563 |
|
|
when 2771 => rom_word <= "01100000";
|
1564 |
|
|
when 2773 => rom_word <= "01100000";
|
1565 |
|
|
when 2774 => rom_word <= "01100000";
|
1566 |
|
|
when 2775 => rom_word <= "01100000";
|
1567 |
|
|
when 2776 => rom_word <= "11110000";
|
1568 |
|
|
when 2777 => rom_word <= "11110000";
|
1569 |
|
|
when 2778 => rom_word <= "11110000";
|
1570 |
|
|
when 2779 => rom_word <= "01100000";
|
1571 |
|
|
when 2789 => rom_word <= "10110001";
|
1572 |
|
|
when 2790 => rom_word <= "11011000";
|
1573 |
|
|
when 2791 => rom_word <= "01101100";
|
1574 |
|
|
when 2792 => rom_word <= "11011000";
|
1575 |
|
|
when 2793 => rom_word <= "10110001";
|
1576 |
|
|
when 2805 => rom_word <= "01101100";
|
1577 |
|
|
when 2806 => rom_word <= "11011000";
|
1578 |
|
|
when 2807 => rom_word <= "10110001";
|
1579 |
|
|
when 2808 => rom_word <= "11011000";
|
1580 |
|
|
when 2809 => rom_word <= "01101100";
|
1581 |
|
|
when 2816 => rom_word <= "00100010";
|
1582 |
|
|
when 2817 => rom_word <= "10001000";
|
1583 |
|
|
when 2818 => rom_word <= "00100010";
|
1584 |
|
|
when 2819 => rom_word <= "10001000";
|
1585 |
|
|
when 2820 => rom_word <= "00100010";
|
1586 |
|
|
when 2821 => rom_word <= "10001000";
|
1587 |
|
|
when 2822 => rom_word <= "00100010";
|
1588 |
|
|
when 2823 => rom_word <= "10001000";
|
1589 |
|
|
when 2824 => rom_word <= "00100010";
|
1590 |
|
|
when 2825 => rom_word <= "10001000";
|
1591 |
|
|
when 2826 => rom_word <= "00100010";
|
1592 |
|
|
when 2827 => rom_word <= "10001000";
|
1593 |
|
|
when 2828 => rom_word <= "00100010";
|
1594 |
|
|
when 2829 => rom_word <= "10001000";
|
1595 |
|
|
when 2830 => rom_word <= "00100010";
|
1596 |
|
|
when 2831 => rom_word <= "10001000";
|
1597 |
|
|
when 2832 => rom_word <= "10101010";
|
1598 |
|
|
when 2833 => rom_word <= "01010101";
|
1599 |
|
|
when 2834 => rom_word <= "10101010";
|
1600 |
|
|
when 2835 => rom_word <= "01010101";
|
1601 |
|
|
when 2836 => rom_word <= "10101010";
|
1602 |
|
|
when 2837 => rom_word <= "01010101";
|
1603 |
|
|
when 2838 => rom_word <= "10101010";
|
1604 |
|
|
when 2839 => rom_word <= "01010101";
|
1605 |
|
|
when 2840 => rom_word <= "10101010";
|
1606 |
|
|
when 2841 => rom_word <= "01010101";
|
1607 |
|
|
when 2842 => rom_word <= "10101010";
|
1608 |
|
|
when 2843 => rom_word <= "01010101";
|
1609 |
|
|
when 2844 => rom_word <= "10101010";
|
1610 |
|
|
when 2845 => rom_word <= "01010101";
|
1611 |
|
|
when 2846 => rom_word <= "10101010";
|
1612 |
|
|
when 2847 => rom_word <= "01010101";
|
1613 |
|
|
when 2848 => rom_word <= "11101110";
|
1614 |
|
|
when 2849 => rom_word <= "10111011";
|
1615 |
|
|
when 2850 => rom_word <= "11101110";
|
1616 |
|
|
when 2851 => rom_word <= "10111011";
|
1617 |
|
|
when 2852 => rom_word <= "11101110";
|
1618 |
|
|
when 2853 => rom_word <= "10111011";
|
1619 |
|
|
when 2854 => rom_word <= "11101110";
|
1620 |
|
|
when 2855 => rom_word <= "10111011";
|
1621 |
|
|
when 2856 => rom_word <= "11101110";
|
1622 |
|
|
when 2857 => rom_word <= "10111011";
|
1623 |
|
|
when 2858 => rom_word <= "11101110";
|
1624 |
|
|
when 2859 => rom_word <= "10111011";
|
1625 |
|
|
when 2860 => rom_word <= "11101110";
|
1626 |
|
|
when 2861 => rom_word <= "10111011";
|
1627 |
|
|
when 2862 => rom_word <= "11101110";
|
1628 |
|
|
when 2863 => rom_word <= "10111011";
|
1629 |
|
|
when 2864 => rom_word <= "01100000";
|
1630 |
|
|
when 2865 => rom_word <= "01100000";
|
1631 |
|
|
when 2866 => rom_word <= "01100000";
|
1632 |
|
|
when 2867 => rom_word <= "01100000";
|
1633 |
|
|
when 2868 => rom_word <= "01100000";
|
1634 |
|
|
when 2869 => rom_word <= "01100000";
|
1635 |
|
|
when 2870 => rom_word <= "01100000";
|
1636 |
|
|
when 2871 => rom_word <= "01100000";
|
1637 |
|
|
when 2872 => rom_word <= "01100000";
|
1638 |
|
|
when 2873 => rom_word <= "01100000";
|
1639 |
|
|
when 2874 => rom_word <= "01100000";
|
1640 |
|
|
when 2875 => rom_word <= "01100000";
|
1641 |
|
|
when 2876 => rom_word <= "01100000";
|
1642 |
|
|
when 2877 => rom_word <= "01100000";
|
1643 |
|
|
when 2878 => rom_word <= "01100000";
|
1644 |
|
|
when 2879 => rom_word <= "01100000";
|
1645 |
|
|
when 2880 => rom_word <= "01100000";
|
1646 |
|
|
when 2881 => rom_word <= "01100000";
|
1647 |
|
|
when 2882 => rom_word <= "01100000";
|
1648 |
|
|
when 2883 => rom_word <= "01100000";
|
1649 |
|
|
when 2884 => rom_word <= "01100000";
|
1650 |
|
|
when 2885 => rom_word <= "01100000";
|
1651 |
|
|
when 2886 => rom_word <= "01100000";
|
1652 |
|
|
when 2887 => rom_word <= "01111100";
|
1653 |
|
|
when 2888 => rom_word <= "01100000";
|
1654 |
|
|
when 2889 => rom_word <= "01100000";
|
1655 |
|
|
when 2890 => rom_word <= "01100000";
|
1656 |
|
|
when 2891 => rom_word <= "01100000";
|
1657 |
|
|
when 2892 => rom_word <= "01100000";
|
1658 |
|
|
when 2893 => rom_word <= "01100000";
|
1659 |
|
|
when 2894 => rom_word <= "01100000";
|
1660 |
|
|
when 2895 => rom_word <= "01100000";
|
1661 |
|
|
when 2896 => rom_word <= "01100000";
|
1662 |
|
|
when 2897 => rom_word <= "01100000";
|
1663 |
|
|
when 2898 => rom_word <= "01100000";
|
1664 |
|
|
when 2899 => rom_word <= "01100000";
|
1665 |
|
|
when 2900 => rom_word <= "01100000";
|
1666 |
|
|
when 2901 => rom_word <= "01111100";
|
1667 |
|
|
when 2902 => rom_word <= "01100000";
|
1668 |
|
|
when 2903 => rom_word <= "01111100";
|
1669 |
|
|
when 2904 => rom_word <= "01100000";
|
1670 |
|
|
when 2905 => rom_word <= "01100000";
|
1671 |
|
|
when 2906 => rom_word <= "01100000";
|
1672 |
|
|
when 2907 => rom_word <= "01100000";
|
1673 |
|
|
when 2908 => rom_word <= "01100000";
|
1674 |
|
|
when 2909 => rom_word <= "01100000";
|
1675 |
|
|
when 2910 => rom_word <= "01100000";
|
1676 |
|
|
when 2911 => rom_word <= "01100000";
|
1677 |
|
|
when 2912 => rom_word <= "10110001";
|
1678 |
|
|
when 2913 => rom_word <= "10110001";
|
1679 |
|
|
when 2914 => rom_word <= "10110001";
|
1680 |
|
|
when 2915 => rom_word <= "10110001";
|
1681 |
|
|
when 2916 => rom_word <= "10110001";
|
1682 |
|
|
when 2917 => rom_word <= "10110001";
|
1683 |
|
|
when 2918 => rom_word <= "10110001";
|
1684 |
|
|
when 2919 => rom_word <= "10111101";
|
1685 |
|
|
when 2920 => rom_word <= "10110001";
|
1686 |
|
|
when 2921 => rom_word <= "10110001";
|
1687 |
|
|
when 2922 => rom_word <= "10110001";
|
1688 |
|
|
when 2923 => rom_word <= "10110001";
|
1689 |
|
|
when 2924 => rom_word <= "10110001";
|
1690 |
|
|
when 2925 => rom_word <= "10110001";
|
1691 |
|
|
when 2926 => rom_word <= "10110001";
|
1692 |
|
|
when 2927 => rom_word <= "10110001";
|
1693 |
|
|
when 2935 => rom_word <= "11111101";
|
1694 |
|
|
when 2936 => rom_word <= "10110001";
|
1695 |
|
|
when 2937 => rom_word <= "10110001";
|
1696 |
|
|
when 2938 => rom_word <= "10110001";
|
1697 |
|
|
when 2939 => rom_word <= "10110001";
|
1698 |
|
|
when 2940 => rom_word <= "10110001";
|
1699 |
|
|
when 2941 => rom_word <= "10110001";
|
1700 |
|
|
when 2942 => rom_word <= "10110001";
|
1701 |
|
|
when 2943 => rom_word <= "10110001";
|
1702 |
|
|
when 2949 => rom_word <= "01111100";
|
1703 |
|
|
when 2950 => rom_word <= "01100000";
|
1704 |
|
|
when 2951 => rom_word <= "01111100";
|
1705 |
|
|
when 2952 => rom_word <= "01100000";
|
1706 |
|
|
when 2953 => rom_word <= "01100000";
|
1707 |
|
|
when 2954 => rom_word <= "01100000";
|
1708 |
|
|
when 2955 => rom_word <= "01100000";
|
1709 |
|
|
when 2956 => rom_word <= "01100000";
|
1710 |
|
|
when 2957 => rom_word <= "01100000";
|
1711 |
|
|
when 2958 => rom_word <= "01100000";
|
1712 |
|
|
when 2959 => rom_word <= "01100000";
|
1713 |
|
|
when 2960 => rom_word <= "10110001";
|
1714 |
|
|
when 2961 => rom_word <= "10110001";
|
1715 |
|
|
when 2962 => rom_word <= "10110001";
|
1716 |
|
|
when 2963 => rom_word <= "10110001";
|
1717 |
|
|
when 2964 => rom_word <= "10110001";
|
1718 |
|
|
when 2965 => rom_word <= "10111101";
|
1719 |
|
|
when 2966 => rom_word <= "10000001";
|
1720 |
|
|
when 2967 => rom_word <= "10111101";
|
1721 |
|
|
when 2968 => rom_word <= "10110001";
|
1722 |
|
|
when 2969 => rom_word <= "10110001";
|
1723 |
|
|
when 2970 => rom_word <= "10110001";
|
1724 |
|
|
when 2971 => rom_word <= "10110001";
|
1725 |
|
|
when 2972 => rom_word <= "10110001";
|
1726 |
|
|
when 2973 => rom_word <= "10110001";
|
1727 |
|
|
when 2974 => rom_word <= "10110001";
|
1728 |
|
|
when 2975 => rom_word <= "10110001";
|
1729 |
|
|
when 2976 => rom_word <= "10110001";
|
1730 |
|
|
when 2977 => rom_word <= "10110001";
|
1731 |
|
|
when 2978 => rom_word <= "10110001";
|
1732 |
|
|
when 2979 => rom_word <= "10110001";
|
1733 |
|
|
when 2980 => rom_word <= "10110001";
|
1734 |
|
|
when 2981 => rom_word <= "10110001";
|
1735 |
|
|
when 2982 => rom_word <= "10110001";
|
1736 |
|
|
when 2983 => rom_word <= "10110001";
|
1737 |
|
|
when 2984 => rom_word <= "10110001";
|
1738 |
|
|
when 2985 => rom_word <= "10110001";
|
1739 |
|
|
when 2986 => rom_word <= "10110001";
|
1740 |
|
|
when 2987 => rom_word <= "10110001";
|
1741 |
|
|
when 2988 => rom_word <= "10110001";
|
1742 |
|
|
when 2989 => rom_word <= "10110001";
|
1743 |
|
|
when 2990 => rom_word <= "10110001";
|
1744 |
|
|
when 2991 => rom_word <= "10110001";
|
1745 |
|
|
when 2997 => rom_word <= "11111101";
|
1746 |
|
|
when 2998 => rom_word <= "10000001";
|
1747 |
|
|
when 2999 => rom_word <= "10111101";
|
1748 |
|
|
when 3000 => rom_word <= "10110001";
|
1749 |
|
|
when 3001 => rom_word <= "10110001";
|
1750 |
|
|
when 3002 => rom_word <= "10110001";
|
1751 |
|
|
when 3003 => rom_word <= "10110001";
|
1752 |
|
|
when 3004 => rom_word <= "10110001";
|
1753 |
|
|
when 3005 => rom_word <= "10110001";
|
1754 |
|
|
when 3006 => rom_word <= "10110001";
|
1755 |
|
|
when 3007 => rom_word <= "10110001";
|
1756 |
|
|
when 3008 => rom_word <= "10110001";
|
1757 |
|
|
when 3009 => rom_word <= "10110001";
|
1758 |
|
|
when 3010 => rom_word <= "10110001";
|
1759 |
|
|
when 3011 => rom_word <= "10110001";
|
1760 |
|
|
when 3012 => rom_word <= "10110001";
|
1761 |
|
|
when 3013 => rom_word <= "10111101";
|
1762 |
|
|
when 3014 => rom_word <= "10000001";
|
1763 |
|
|
when 3015 => rom_word <= "11111101";
|
1764 |
|
|
when 3024 => rom_word <= "10110001";
|
1765 |
|
|
when 3025 => rom_word <= "10110001";
|
1766 |
|
|
when 3026 => rom_word <= "10110001";
|
1767 |
|
|
when 3027 => rom_word <= "10110001";
|
1768 |
|
|
when 3028 => rom_word <= "10110001";
|
1769 |
|
|
when 3029 => rom_word <= "10110001";
|
1770 |
|
|
when 3030 => rom_word <= "10110001";
|
1771 |
|
|
when 3031 => rom_word <= "11111101";
|
1772 |
|
|
when 3040 => rom_word <= "01100000";
|
1773 |
|
|
when 3041 => rom_word <= "01100000";
|
1774 |
|
|
when 3042 => rom_word <= "01100000";
|
1775 |
|
|
when 3043 => rom_word <= "01100000";
|
1776 |
|
|
when 3044 => rom_word <= "01100000";
|
1777 |
|
|
when 3045 => rom_word <= "01111100";
|
1778 |
|
|
when 3046 => rom_word <= "01100000";
|
1779 |
|
|
when 3047 => rom_word <= "01111100";
|
1780 |
|
|
when 3063 => rom_word <= "01111100";
|
1781 |
|
|
when 3064 => rom_word <= "01100000";
|
1782 |
|
|
when 3065 => rom_word <= "01100000";
|
1783 |
|
|
when 3066 => rom_word <= "01100000";
|
1784 |
|
|
when 3067 => rom_word <= "01100000";
|
1785 |
|
|
when 3068 => rom_word <= "01100000";
|
1786 |
|
|
when 3069 => rom_word <= "01100000";
|
1787 |
|
|
when 3070 => rom_word <= "01100000";
|
1788 |
|
|
when 3071 => rom_word <= "01100000";
|
1789 |
|
|
when 3072 => rom_word <= "01100000";
|
1790 |
|
|
when 3073 => rom_word <= "01100000";
|
1791 |
|
|
when 3074 => rom_word <= "01100000";
|
1792 |
|
|
when 3075 => rom_word <= "01100000";
|
1793 |
|
|
when 3076 => rom_word <= "01100000";
|
1794 |
|
|
when 3077 => rom_word <= "01100000";
|
1795 |
|
|
when 3078 => rom_word <= "01100000";
|
1796 |
|
|
when 3079 => rom_word <= "11100011";
|
1797 |
|
|
when 3088 => rom_word <= "01100000";
|
1798 |
|
|
when 3089 => rom_word <= "01100000";
|
1799 |
|
|
when 3090 => rom_word <= "01100000";
|
1800 |
|
|
when 3091 => rom_word <= "01100000";
|
1801 |
|
|
when 3092 => rom_word <= "01100000";
|
1802 |
|
|
when 3093 => rom_word <= "01100000";
|
1803 |
|
|
when 3094 => rom_word <= "01100000";
|
1804 |
|
|
when 3095 => rom_word <= "11111111";
|
1805 |
|
|
when 3111 => rom_word <= "11111111";
|
1806 |
|
|
when 3112 => rom_word <= "01100000";
|
1807 |
|
|
when 3113 => rom_word <= "01100000";
|
1808 |
|
|
when 3114 => rom_word <= "01100000";
|
1809 |
|
|
when 3115 => rom_word <= "01100000";
|
1810 |
|
|
when 3116 => rom_word <= "01100000";
|
1811 |
|
|
when 3117 => rom_word <= "01100000";
|
1812 |
|
|
when 3118 => rom_word <= "01100000";
|
1813 |
|
|
when 3119 => rom_word <= "01100000";
|
1814 |
|
|
when 3120 => rom_word <= "01100000";
|
1815 |
|
|
when 3121 => rom_word <= "01100000";
|
1816 |
|
|
when 3122 => rom_word <= "01100000";
|
1817 |
|
|
when 3123 => rom_word <= "01100000";
|
1818 |
|
|
when 3124 => rom_word <= "01100000";
|
1819 |
|
|
when 3125 => rom_word <= "01100000";
|
1820 |
|
|
when 3126 => rom_word <= "01100000";
|
1821 |
|
|
when 3127 => rom_word <= "11100011";
|
1822 |
|
|
when 3128 => rom_word <= "01100000";
|
1823 |
|
|
when 3129 => rom_word <= "01100000";
|
1824 |
|
|
when 3130 => rom_word <= "01100000";
|
1825 |
|
|
when 3131 => rom_word <= "01100000";
|
1826 |
|
|
when 3132 => rom_word <= "01100000";
|
1827 |
|
|
when 3133 => rom_word <= "01100000";
|
1828 |
|
|
when 3134 => rom_word <= "01100000";
|
1829 |
|
|
when 3135 => rom_word <= "01100000";
|
1830 |
|
|
when 3143 => rom_word <= "11111111";
|
1831 |
|
|
when 3152 => rom_word <= "01100000";
|
1832 |
|
|
when 3153 => rom_word <= "01100000";
|
1833 |
|
|
when 3154 => rom_word <= "01100000";
|
1834 |
|
|
when 3155 => rom_word <= "01100000";
|
1835 |
|
|
when 3156 => rom_word <= "01100000";
|
1836 |
|
|
when 3157 => rom_word <= "01100000";
|
1837 |
|
|
when 3158 => rom_word <= "01100000";
|
1838 |
|
|
when 3159 => rom_word <= "11111111";
|
1839 |
|
|
when 3160 => rom_word <= "01100000";
|
1840 |
|
|
when 3161 => rom_word <= "01100000";
|
1841 |
|
|
when 3162 => rom_word <= "01100000";
|
1842 |
|
|
when 3163 => rom_word <= "01100000";
|
1843 |
|
|
when 3164 => rom_word <= "01100000";
|
1844 |
|
|
when 3165 => rom_word <= "01100000";
|
1845 |
|
|
when 3166 => rom_word <= "01100000";
|
1846 |
|
|
when 3167 => rom_word <= "01100000";
|
1847 |
|
|
when 3168 => rom_word <= "01100000";
|
1848 |
|
|
when 3169 => rom_word <= "01100000";
|
1849 |
|
|
when 3170 => rom_word <= "01100000";
|
1850 |
|
|
when 3171 => rom_word <= "01100000";
|
1851 |
|
|
when 3172 => rom_word <= "01100000";
|
1852 |
|
|
when 3173 => rom_word <= "11100011";
|
1853 |
|
|
when 3174 => rom_word <= "01100000";
|
1854 |
|
|
when 3175 => rom_word <= "11100011";
|
1855 |
|
|
when 3176 => rom_word <= "01100000";
|
1856 |
|
|
when 3177 => rom_word <= "01100000";
|
1857 |
|
|
when 3178 => rom_word <= "01100000";
|
1858 |
|
|
when 3179 => rom_word <= "01100000";
|
1859 |
|
|
when 3180 => rom_word <= "01100000";
|
1860 |
|
|
when 3181 => rom_word <= "01100000";
|
1861 |
|
|
when 3182 => rom_word <= "01100000";
|
1862 |
|
|
when 3183 => rom_word <= "01100000";
|
1863 |
|
|
when 3184 => rom_word <= "10110001";
|
1864 |
|
|
when 3185 => rom_word <= "10110001";
|
1865 |
|
|
when 3186 => rom_word <= "10110001";
|
1866 |
|
|
when 3187 => rom_word <= "10110001";
|
1867 |
|
|
when 3188 => rom_word <= "10110001";
|
1868 |
|
|
when 3189 => rom_word <= "10110001";
|
1869 |
|
|
when 3190 => rom_word <= "10110001";
|
1870 |
|
|
when 3191 => rom_word <= "10110011";
|
1871 |
|
|
when 3192 => rom_word <= "10110001";
|
1872 |
|
|
when 3193 => rom_word <= "10110001";
|
1873 |
|
|
when 3194 => rom_word <= "10110001";
|
1874 |
|
|
when 3195 => rom_word <= "10110001";
|
1875 |
|
|
when 3196 => rom_word <= "10110001";
|
1876 |
|
|
when 3197 => rom_word <= "10110001";
|
1877 |
|
|
when 3198 => rom_word <= "10110001";
|
1878 |
|
|
when 3199 => rom_word <= "10110001";
|
1879 |
|
|
when 3200 => rom_word <= "10110001";
|
1880 |
|
|
when 3201 => rom_word <= "10110001";
|
1881 |
|
|
when 3202 => rom_word <= "10110001";
|
1882 |
|
|
when 3203 => rom_word <= "10110001";
|
1883 |
|
|
when 3204 => rom_word <= "10110001";
|
1884 |
|
|
when 3205 => rom_word <= "10110011";
|
1885 |
|
|
when 3206 => rom_word <= "00110000";
|
1886 |
|
|
when 3207 => rom_word <= "11110011";
|
1887 |
|
|
when 3221 => rom_word <= "11110011";
|
1888 |
|
|
when 3222 => rom_word <= "00110000";
|
1889 |
|
|
when 3223 => rom_word <= "10110011";
|
1890 |
|
|
when 3224 => rom_word <= "10110001";
|
1891 |
|
|
when 3225 => rom_word <= "10110001";
|
1892 |
|
|
when 3226 => rom_word <= "10110001";
|
1893 |
|
|
when 3227 => rom_word <= "10110001";
|
1894 |
|
|
when 3228 => rom_word <= "10110001";
|
1895 |
|
|
when 3229 => rom_word <= "10110001";
|
1896 |
|
|
when 3230 => rom_word <= "10110001";
|
1897 |
|
|
when 3231 => rom_word <= "10110001";
|
1898 |
|
|
when 3232 => rom_word <= "10110001";
|
1899 |
|
|
when 3233 => rom_word <= "10110001";
|
1900 |
|
|
when 3234 => rom_word <= "10110001";
|
1901 |
|
|
when 3235 => rom_word <= "10110001";
|
1902 |
|
|
when 3236 => rom_word <= "10110001";
|
1903 |
|
|
when 3237 => rom_word <= "10111111";
|
1904 |
|
|
when 3239 => rom_word <= "11111111";
|
1905 |
|
|
when 3253 => rom_word <= "11111111";
|
1906 |
|
|
when 3255 => rom_word <= "10111111";
|
1907 |
|
|
when 3256 => rom_word <= "10110001";
|
1908 |
|
|
when 3257 => rom_word <= "10110001";
|
1909 |
|
|
when 3258 => rom_word <= "10110001";
|
1910 |
|
|
when 3259 => rom_word <= "10110001";
|
1911 |
|
|
when 3260 => rom_word <= "10110001";
|
1912 |
|
|
when 3261 => rom_word <= "10110001";
|
1913 |
|
|
when 3262 => rom_word <= "10110001";
|
1914 |
|
|
when 3263 => rom_word <= "10110001";
|
1915 |
|
|
when 3264 => rom_word <= "10110001";
|
1916 |
|
|
when 3265 => rom_word <= "10110001";
|
1917 |
|
|
when 3266 => rom_word <= "10110001";
|
1918 |
|
|
when 3267 => rom_word <= "10110001";
|
1919 |
|
|
when 3268 => rom_word <= "10110001";
|
1920 |
|
|
when 3269 => rom_word <= "10110011";
|
1921 |
|
|
when 3270 => rom_word <= "00110000";
|
1922 |
|
|
when 3271 => rom_word <= "10110011";
|
1923 |
|
|
when 3272 => rom_word <= "10110001";
|
1924 |
|
|
when 3273 => rom_word <= "10110001";
|
1925 |
|
|
when 3274 => rom_word <= "10110001";
|
1926 |
|
|
when 3275 => rom_word <= "10110001";
|
1927 |
|
|
when 3276 => rom_word <= "10110001";
|
1928 |
|
|
when 3277 => rom_word <= "10110001";
|
1929 |
|
|
when 3278 => rom_word <= "10110001";
|
1930 |
|
|
when 3279 => rom_word <= "10110001";
|
1931 |
|
|
when 3285 => rom_word <= "11111111";
|
1932 |
|
|
when 3287 => rom_word <= "11111111";
|
1933 |
|
|
when 3296 => rom_word <= "10110001";
|
1934 |
|
|
when 3297 => rom_word <= "10110001";
|
1935 |
|
|
when 3298 => rom_word <= "10110001";
|
1936 |
|
|
when 3299 => rom_word <= "10110001";
|
1937 |
|
|
when 3300 => rom_word <= "10110001";
|
1938 |
|
|
when 3301 => rom_word <= "10111111";
|
1939 |
|
|
when 3303 => rom_word <= "10111111";
|
1940 |
|
|
when 3304 => rom_word <= "10110001";
|
1941 |
|
|
when 3305 => rom_word <= "10110001";
|
1942 |
|
|
when 3306 => rom_word <= "10110001";
|
1943 |
|
|
when 3307 => rom_word <= "10110001";
|
1944 |
|
|
when 3308 => rom_word <= "10110001";
|
1945 |
|
|
when 3309 => rom_word <= "10110001";
|
1946 |
|
|
when 3310 => rom_word <= "10110001";
|
1947 |
|
|
when 3311 => rom_word <= "10110001";
|
1948 |
|
|
when 3312 => rom_word <= "01100000";
|
1949 |
|
|
when 3313 => rom_word <= "01100000";
|
1950 |
|
|
when 3314 => rom_word <= "01100000";
|
1951 |
|
|
when 3315 => rom_word <= "01100000";
|
1952 |
|
|
when 3316 => rom_word <= "01100000";
|
1953 |
|
|
when 3317 => rom_word <= "11111111";
|
1954 |
|
|
when 3319 => rom_word <= "11111111";
|
1955 |
|
|
when 3328 => rom_word <= "10110001";
|
1956 |
|
|
when 3329 => rom_word <= "10110001";
|
1957 |
|
|
when 3330 => rom_word <= "10110001";
|
1958 |
|
|
when 3331 => rom_word <= "10110001";
|
1959 |
|
|
when 3332 => rom_word <= "10110001";
|
1960 |
|
|
when 3333 => rom_word <= "10110001";
|
1961 |
|
|
when 3334 => rom_word <= "10110001";
|
1962 |
|
|
when 3335 => rom_word <= "11111111";
|
1963 |
|
|
when 3349 => rom_word <= "11111111";
|
1964 |
|
|
when 3351 => rom_word <= "11111111";
|
1965 |
|
|
when 3352 => rom_word <= "01100000";
|
1966 |
|
|
when 3353 => rom_word <= "01100000";
|
1967 |
|
|
when 3354 => rom_word <= "01100000";
|
1968 |
|
|
when 3355 => rom_word <= "01100000";
|
1969 |
|
|
when 3356 => rom_word <= "01100000";
|
1970 |
|
|
when 3357 => rom_word <= "01100000";
|
1971 |
|
|
when 3358 => rom_word <= "01100000";
|
1972 |
|
|
when 3359 => rom_word <= "01100000";
|
1973 |
|
|
when 3367 => rom_word <= "11111111";
|
1974 |
|
|
when 3368 => rom_word <= "10110001";
|
1975 |
|
|
when 3369 => rom_word <= "10110001";
|
1976 |
|
|
when 3370 => rom_word <= "10110001";
|
1977 |
|
|
when 3371 => rom_word <= "10110001";
|
1978 |
|
|
when 3372 => rom_word <= "10110001";
|
1979 |
|
|
when 3373 => rom_word <= "10110001";
|
1980 |
|
|
when 3374 => rom_word <= "10110001";
|
1981 |
|
|
when 3375 => rom_word <= "10110001";
|
1982 |
|
|
when 3376 => rom_word <= "10110001";
|
1983 |
|
|
when 3377 => rom_word <= "10110001";
|
1984 |
|
|
when 3378 => rom_word <= "10110001";
|
1985 |
|
|
when 3379 => rom_word <= "10110001";
|
1986 |
|
|
when 3380 => rom_word <= "10110001";
|
1987 |
|
|
when 3381 => rom_word <= "10110001";
|
1988 |
|
|
when 3382 => rom_word <= "10110001";
|
1989 |
|
|
when 3383 => rom_word <= "11110011";
|
1990 |
|
|
when 3392 => rom_word <= "01100000";
|
1991 |
|
|
when 3393 => rom_word <= "01100000";
|
1992 |
|
|
when 3394 => rom_word <= "01100000";
|
1993 |
|
|
when 3395 => rom_word <= "01100000";
|
1994 |
|
|
when 3396 => rom_word <= "01100000";
|
1995 |
|
|
when 3397 => rom_word <= "11100011";
|
1996 |
|
|
when 3398 => rom_word <= "01100000";
|
1997 |
|
|
when 3399 => rom_word <= "11100011";
|
1998 |
|
|
when 3413 => rom_word <= "11100011";
|
1999 |
|
|
when 3414 => rom_word <= "01100000";
|
2000 |
|
|
when 3415 => rom_word <= "11100011";
|
2001 |
|
|
when 3416 => rom_word <= "01100000";
|
2002 |
|
|
when 3417 => rom_word <= "01100000";
|
2003 |
|
|
when 3418 => rom_word <= "01100000";
|
2004 |
|
|
when 3419 => rom_word <= "01100000";
|
2005 |
|
|
when 3420 => rom_word <= "01100000";
|
2006 |
|
|
when 3421 => rom_word <= "01100000";
|
2007 |
|
|
when 3422 => rom_word <= "01100000";
|
2008 |
|
|
when 3423 => rom_word <= "01100000";
|
2009 |
|
|
when 3431 => rom_word <= "11110011";
|
2010 |
|
|
when 3432 => rom_word <= "10110001";
|
2011 |
|
|
when 3433 => rom_word <= "10110001";
|
2012 |
|
|
when 3434 => rom_word <= "10110001";
|
2013 |
|
|
when 3435 => rom_word <= "10110001";
|
2014 |
|
|
when 3436 => rom_word <= "10110001";
|
2015 |
|
|
when 3437 => rom_word <= "10110001";
|
2016 |
|
|
when 3438 => rom_word <= "10110001";
|
2017 |
|
|
when 3439 => rom_word <= "10110001";
|
2018 |
|
|
when 3440 => rom_word <= "10110001";
|
2019 |
|
|
when 3441 => rom_word <= "10110001";
|
2020 |
|
|
when 3442 => rom_word <= "10110001";
|
2021 |
|
|
when 3443 => rom_word <= "10110001";
|
2022 |
|
|
when 3444 => rom_word <= "10110001";
|
2023 |
|
|
when 3445 => rom_word <= "10110001";
|
2024 |
|
|
when 3446 => rom_word <= "10110001";
|
2025 |
|
|
when 3447 => rom_word <= "11111111";
|
2026 |
|
|
when 3448 => rom_word <= "10110001";
|
2027 |
|
|
when 3449 => rom_word <= "10110001";
|
2028 |
|
|
when 3450 => rom_word <= "10110001";
|
2029 |
|
|
when 3451 => rom_word <= "10110001";
|
2030 |
|
|
when 3452 => rom_word <= "10110001";
|
2031 |
|
|
when 3453 => rom_word <= "10110001";
|
2032 |
|
|
when 3454 => rom_word <= "10110001";
|
2033 |
|
|
when 3455 => rom_word <= "10110001";
|
2034 |
|
|
when 3456 => rom_word <= "01100000";
|
2035 |
|
|
when 3457 => rom_word <= "01100000";
|
2036 |
|
|
when 3458 => rom_word <= "01100000";
|
2037 |
|
|
when 3459 => rom_word <= "01100000";
|
2038 |
|
|
when 3460 => rom_word <= "01100000";
|
2039 |
|
|
when 3461 => rom_word <= "11111111";
|
2040 |
|
|
when 3462 => rom_word <= "01100000";
|
2041 |
|
|
when 3463 => rom_word <= "11111111";
|
2042 |
|
|
when 3464 => rom_word <= "01100000";
|
2043 |
|
|
when 3465 => rom_word <= "01100000";
|
2044 |
|
|
when 3466 => rom_word <= "01100000";
|
2045 |
|
|
when 3467 => rom_word <= "01100000";
|
2046 |
|
|
when 3468 => rom_word <= "01100000";
|
2047 |
|
|
when 3469 => rom_word <= "01100000";
|
2048 |
|
|
when 3470 => rom_word <= "01100000";
|
2049 |
|
|
when 3471 => rom_word <= "01100000";
|
2050 |
|
|
when 3472 => rom_word <= "01100000";
|
2051 |
|
|
when 3473 => rom_word <= "01100000";
|
2052 |
|
|
when 3474 => rom_word <= "01100000";
|
2053 |
|
|
when 3475 => rom_word <= "01100000";
|
2054 |
|
|
when 3476 => rom_word <= "01100000";
|
2055 |
|
|
when 3477 => rom_word <= "01100000";
|
2056 |
|
|
when 3478 => rom_word <= "01100000";
|
2057 |
|
|
when 3479 => rom_word <= "01111100";
|
2058 |
|
|
when 3495 => rom_word <= "11100011";
|
2059 |
|
|
when 3496 => rom_word <= "01100000";
|
2060 |
|
|
when 3497 => rom_word <= "01100000";
|
2061 |
|
|
when 3498 => rom_word <= "01100000";
|
2062 |
|
|
when 3499 => rom_word <= "01100000";
|
2063 |
|
|
when 3500 => rom_word <= "01100000";
|
2064 |
|
|
when 3501 => rom_word <= "01100000";
|
2065 |
|
|
when 3502 => rom_word <= "01100000";
|
2066 |
|
|
when 3503 => rom_word <= "01100000";
|
2067 |
|
|
when 3504 => rom_word <= "11111111";
|
2068 |
|
|
when 3505 => rom_word <= "11111111";
|
2069 |
|
|
when 3506 => rom_word <= "11111111";
|
2070 |
|
|
when 3507 => rom_word <= "11111111";
|
2071 |
|
|
when 3508 => rom_word <= "11111111";
|
2072 |
|
|
when 3509 => rom_word <= "11111111";
|
2073 |
|
|
when 3510 => rom_word <= "11111111";
|
2074 |
|
|
when 3511 => rom_word <= "11111111";
|
2075 |
|
|
when 3512 => rom_word <= "11111111";
|
2076 |
|
|
when 3513 => rom_word <= "11111111";
|
2077 |
|
|
when 3514 => rom_word <= "11111111";
|
2078 |
|
|
when 3515 => rom_word <= "11111111";
|
2079 |
|
|
when 3516 => rom_word <= "11111111";
|
2080 |
|
|
when 3517 => rom_word <= "11111111";
|
2081 |
|
|
when 3518 => rom_word <= "11111111";
|
2082 |
|
|
when 3519 => rom_word <= "11111111";
|
2083 |
|
|
when 3527 => rom_word <= "11111111";
|
2084 |
|
|
when 3528 => rom_word <= "11111111";
|
2085 |
|
|
when 3529 => rom_word <= "11111111";
|
2086 |
|
|
when 3530 => rom_word <= "11111111";
|
2087 |
|
|
when 3531 => rom_word <= "11111111";
|
2088 |
|
|
when 3532 => rom_word <= "11111111";
|
2089 |
|
|
when 3533 => rom_word <= "11111111";
|
2090 |
|
|
when 3534 => rom_word <= "11111111";
|
2091 |
|
|
when 3535 => rom_word <= "11111111";
|
2092 |
|
|
when 3536 => rom_word <= "00111100";
|
2093 |
|
|
when 3537 => rom_word <= "00111100";
|
2094 |
|
|
when 3538 => rom_word <= "00111100";
|
2095 |
|
|
when 3539 => rom_word <= "00111100";
|
2096 |
|
|
when 3540 => rom_word <= "00111100";
|
2097 |
|
|
when 3541 => rom_word <= "00111100";
|
2098 |
|
|
when 3542 => rom_word <= "00111100";
|
2099 |
|
|
when 3543 => rom_word <= "00111100";
|
2100 |
|
|
when 3544 => rom_word <= "00111100";
|
2101 |
|
|
when 3545 => rom_word <= "00111100";
|
2102 |
|
|
when 3546 => rom_word <= "00111100";
|
2103 |
|
|
when 3547 => rom_word <= "00111100";
|
2104 |
|
|
when 3548 => rom_word <= "00111100";
|
2105 |
|
|
when 3549 => rom_word <= "00111100";
|
2106 |
|
|
when 3550 => rom_word <= "00111100";
|
2107 |
|
|
when 3551 => rom_word <= "00111100";
|
2108 |
|
|
when 3552 => rom_word <= "11000011";
|
2109 |
|
|
when 3553 => rom_word <= "11000011";
|
2110 |
|
|
when 3554 => rom_word <= "11000011";
|
2111 |
|
|
when 3555 => rom_word <= "11000011";
|
2112 |
|
|
when 3556 => rom_word <= "11000011";
|
2113 |
|
|
when 3557 => rom_word <= "11000011";
|
2114 |
|
|
when 3558 => rom_word <= "11000011";
|
2115 |
|
|
when 3559 => rom_word <= "11000011";
|
2116 |
|
|
when 3560 => rom_word <= "11000011";
|
2117 |
|
|
when 3561 => rom_word <= "11000011";
|
2118 |
|
|
when 3562 => rom_word <= "11000011";
|
2119 |
|
|
when 3563 => rom_word <= "11000011";
|
2120 |
|
|
when 3564 => rom_word <= "11000011";
|
2121 |
|
|
when 3565 => rom_word <= "11000011";
|
2122 |
|
|
when 3566 => rom_word <= "11000011";
|
2123 |
|
|
when 3567 => rom_word <= "11000011";
|
2124 |
|
|
when 3568 => rom_word <= "11111111";
|
2125 |
|
|
when 3569 => rom_word <= "11111111";
|
2126 |
|
|
when 3570 => rom_word <= "11111111";
|
2127 |
|
|
when 3571 => rom_word <= "11111111";
|
2128 |
|
|
when 3572 => rom_word <= "11111111";
|
2129 |
|
|
when 3573 => rom_word <= "11111111";
|
2130 |
|
|
when 3574 => rom_word <= "11111111";
|
2131 |
|
|
when 3589 => rom_word <= "10111001";
|
2132 |
|
|
when 3590 => rom_word <= "11101100";
|
2133 |
|
|
when 3591 => rom_word <= "01101100";
|
2134 |
|
|
when 3592 => rom_word <= "01101100";
|
2135 |
|
|
when 3593 => rom_word <= "01101100";
|
2136 |
|
|
when 3594 => rom_word <= "11101100";
|
2137 |
|
|
when 3595 => rom_word <= "10111001";
|
2138 |
|
|
when 3602 => rom_word <= "11111000";
|
2139 |
|
|
when 3603 => rom_word <= "11001101";
|
2140 |
|
|
when 3604 => rom_word <= "10001101";
|
2141 |
|
|
when 3605 => rom_word <= "11001101";
|
2142 |
|
|
when 3606 => rom_word <= "11101100";
|
2143 |
|
|
when 3607 => rom_word <= "01001100";
|
2144 |
|
|
when 3608 => rom_word <= "11001100";
|
2145 |
|
|
when 3609 => rom_word <= "11001100";
|
2146 |
|
|
when 3610 => rom_word <= "11001100";
|
2147 |
|
|
when 3611 => rom_word <= "01111100";
|
2148 |
|
|
when 3612 => rom_word <= "00001100";
|
2149 |
|
|
when 3613 => rom_word <= "00001100";
|
2150 |
|
|
when 3618 => rom_word <= "11111101";
|
2151 |
|
|
when 3619 => rom_word <= "10001101";
|
2152 |
|
|
when 3620 => rom_word <= "10001101";
|
2153 |
|
|
when 3621 => rom_word <= "00001100";
|
2154 |
|
|
when 3622 => rom_word <= "00001100";
|
2155 |
|
|
when 3623 => rom_word <= "00001100";
|
2156 |
|
|
when 3624 => rom_word <= "00001100";
|
2157 |
|
|
when 3625 => rom_word <= "00001100";
|
2158 |
|
|
when 3626 => rom_word <= "00001100";
|
2159 |
|
|
when 3627 => rom_word <= "00001100";
|
2160 |
|
|
when 3637 => rom_word <= "11111101";
|
2161 |
|
|
when 3638 => rom_word <= "11011000";
|
2162 |
|
|
when 3639 => rom_word <= "11011000";
|
2163 |
|
|
when 3640 => rom_word <= "11011000";
|
2164 |
|
|
when 3641 => rom_word <= "11011000";
|
2165 |
|
|
when 3642 => rom_word <= "11011000";
|
2166 |
|
|
when 3643 => rom_word <= "11011000";
|
2167 |
|
|
when 3650 => rom_word <= "11111101";
|
2168 |
|
|
when 3651 => rom_word <= "10001101";
|
2169 |
|
|
when 3652 => rom_word <= "00011000";
|
2170 |
|
|
when 3653 => rom_word <= "00110000";
|
2171 |
|
|
when 3654 => rom_word <= "01100000";
|
2172 |
|
|
when 3655 => rom_word <= "01100000";
|
2173 |
|
|
when 3656 => rom_word <= "00110000";
|
2174 |
|
|
when 3657 => rom_word <= "00011000";
|
2175 |
|
|
when 3658 => rom_word <= "10001101";
|
2176 |
|
|
when 3659 => rom_word <= "11111101";
|
2177 |
|
|
when 3669 => rom_word <= "11111001";
|
2178 |
|
|
when 3670 => rom_word <= "01101100";
|
2179 |
|
|
when 3671 => rom_word <= "01101100";
|
2180 |
|
|
when 3672 => rom_word <= "01101100";
|
2181 |
|
|
when 3673 => rom_word <= "01101100";
|
2182 |
|
|
when 3674 => rom_word <= "01101100";
|
2183 |
|
|
when 3675 => rom_word <= "00111000";
|
2184 |
|
|
when 3685 => rom_word <= "10011001";
|
2185 |
|
|
when 3686 => rom_word <= "10011001";
|
2186 |
|
|
when 3687 => rom_word <= "10011001";
|
2187 |
|
|
when 3688 => rom_word <= "10011001";
|
2188 |
|
|
when 3689 => rom_word <= "10011001";
|
2189 |
|
|
when 3690 => rom_word <= "10011001";
|
2190 |
|
|
when 3691 => rom_word <= "11111000";
|
2191 |
|
|
when 3692 => rom_word <= "00011000";
|
2192 |
|
|
when 3693 => rom_word <= "00011000";
|
2193 |
|
|
when 3694 => rom_word <= "00001100";
|
2194 |
|
|
when 3700 => rom_word <= "10111001";
|
2195 |
|
|
when 3701 => rom_word <= "11101100";
|
2196 |
|
|
when 3702 => rom_word <= "01100000";
|
2197 |
|
|
when 3703 => rom_word <= "01100000";
|
2198 |
|
|
when 3704 => rom_word <= "01100000";
|
2199 |
|
|
when 3705 => rom_word <= "01100000";
|
2200 |
|
|
when 3706 => rom_word <= "01100000";
|
2201 |
|
|
when 3707 => rom_word <= "01100000";
|
2202 |
|
|
when 3714 => rom_word <= "11111001";
|
2203 |
|
|
when 3715 => rom_word <= "01100000";
|
2204 |
|
|
when 3716 => rom_word <= "11110000";
|
2205 |
|
|
when 3717 => rom_word <= "10011001";
|
2206 |
|
|
when 3718 => rom_word <= "10011001";
|
2207 |
|
|
when 3719 => rom_word <= "10011001";
|
2208 |
|
|
when 3720 => rom_word <= "10011001";
|
2209 |
|
|
when 3721 => rom_word <= "11110000";
|
2210 |
|
|
when 3722 => rom_word <= "01100000";
|
2211 |
|
|
when 3723 => rom_word <= "11111001";
|
2212 |
|
|
when 3730 => rom_word <= "01110000";
|
2213 |
|
|
when 3731 => rom_word <= "11011000";
|
2214 |
|
|
when 3732 => rom_word <= "10001101";
|
2215 |
|
|
when 3733 => rom_word <= "10001101";
|
2216 |
|
|
when 3734 => rom_word <= "11111101";
|
2217 |
|
|
when 3735 => rom_word <= "10001101";
|
2218 |
|
|
when 3736 => rom_word <= "10001101";
|
2219 |
|
|
when 3737 => rom_word <= "10001101";
|
2220 |
|
|
when 3738 => rom_word <= "11011000";
|
2221 |
|
|
when 3739 => rom_word <= "01110000";
|
2222 |
|
|
when 3746 => rom_word <= "01110000";
|
2223 |
|
|
when 3747 => rom_word <= "11011000";
|
2224 |
|
|
when 3748 => rom_word <= "10001101";
|
2225 |
|
|
when 3749 => rom_word <= "10001101";
|
2226 |
|
|
when 3750 => rom_word <= "10001101";
|
2227 |
|
|
when 3751 => rom_word <= "11011000";
|
2228 |
|
|
when 3752 => rom_word <= "11011000";
|
2229 |
|
|
when 3753 => rom_word <= "11011000";
|
2230 |
|
|
when 3754 => rom_word <= "11011000";
|
2231 |
|
|
when 3755 => rom_word <= "11011101";
|
2232 |
|
|
when 3762 => rom_word <= "11100001";
|
2233 |
|
|
when 3763 => rom_word <= "00110000";
|
2234 |
|
|
when 3764 => rom_word <= "01100000";
|
2235 |
|
|
when 3765 => rom_word <= "11000000";
|
2236 |
|
|
when 3766 => rom_word <= "11110001";
|
2237 |
|
|
when 3767 => rom_word <= "10011001";
|
2238 |
|
|
when 3768 => rom_word <= "10011001";
|
2239 |
|
|
when 3769 => rom_word <= "10011001";
|
2240 |
|
|
when 3770 => rom_word <= "10011001";
|
2241 |
|
|
when 3771 => rom_word <= "11110000";
|
2242 |
|
|
when 3781 => rom_word <= "11111001";
|
2243 |
|
|
when 3782 => rom_word <= "01101111";
|
2244 |
|
|
when 3783 => rom_word <= "01101111";
|
2245 |
|
|
when 3784 => rom_word <= "01101111";
|
2246 |
|
|
when 3785 => rom_word <= "11111001";
|
2247 |
|
|
when 3795 => rom_word <= "00000011";
|
2248 |
|
|
when 3796 => rom_word <= "10000001";
|
2249 |
|
|
when 3797 => rom_word <= "11111001";
|
2250 |
|
|
when 3798 => rom_word <= "01101111";
|
2251 |
|
|
when 3799 => rom_word <= "01101111";
|
2252 |
|
|
when 3800 => rom_word <= "00111111";
|
2253 |
|
|
when 3801 => rom_word <= "11111001";
|
2254 |
|
|
when 3802 => rom_word <= "00011000";
|
2255 |
|
|
when 3803 => rom_word <= "00001100";
|
2256 |
|
|
when 3810 => rom_word <= "11100000";
|
2257 |
|
|
when 3811 => rom_word <= "00110000";
|
2258 |
|
|
when 3812 => rom_word <= "00011000";
|
2259 |
|
|
when 3813 => rom_word <= "00011000";
|
2260 |
|
|
when 3814 => rom_word <= "11111000";
|
2261 |
|
|
when 3815 => rom_word <= "00011000";
|
2262 |
|
|
when 3816 => rom_word <= "00011000";
|
2263 |
|
|
when 3817 => rom_word <= "00011000";
|
2264 |
|
|
when 3818 => rom_word <= "00110000";
|
2265 |
|
|
when 3819 => rom_word <= "11100000";
|
2266 |
|
|
when 3827 => rom_word <= "11111000";
|
2267 |
|
|
when 3828 => rom_word <= "10001101";
|
2268 |
|
|
when 3829 => rom_word <= "10001101";
|
2269 |
|
|
when 3830 => rom_word <= "10001101";
|
2270 |
|
|
when 3831 => rom_word <= "10001101";
|
2271 |
|
|
when 3832 => rom_word <= "10001101";
|
2272 |
|
|
when 3833 => rom_word <= "10001101";
|
2273 |
|
|
when 3834 => rom_word <= "10001101";
|
2274 |
|
|
when 3835 => rom_word <= "10001101";
|
2275 |
|
|
when 3844 => rom_word <= "11111101";
|
2276 |
|
|
when 3847 => rom_word <= "11111101";
|
2277 |
|
|
when 3850 => rom_word <= "11111101";
|
2278 |
|
|
when 3860 => rom_word <= "01100000";
|
2279 |
|
|
when 3861 => rom_word <= "01100000";
|
2280 |
|
|
when 3862 => rom_word <= "11111001";
|
2281 |
|
|
when 3863 => rom_word <= "01100000";
|
2282 |
|
|
when 3864 => rom_word <= "01100000";
|
2283 |
|
|
when 3867 => rom_word <= "11111001";
|
2284 |
|
|
when 3875 => rom_word <= "00110000";
|
2285 |
|
|
when 3876 => rom_word <= "01100000";
|
2286 |
|
|
when 3877 => rom_word <= "11000000";
|
2287 |
|
|
when 3878 => rom_word <= "10000001";
|
2288 |
|
|
when 3879 => rom_word <= "11000000";
|
2289 |
|
|
when 3880 => rom_word <= "01100000";
|
2290 |
|
|
when 3881 => rom_word <= "00110000";
|
2291 |
|
|
when 3883 => rom_word <= "11111001";
|
2292 |
|
|
when 3891 => rom_word <= "11000000";
|
2293 |
|
|
when 3892 => rom_word <= "01100000";
|
2294 |
|
|
when 3893 => rom_word <= "00110000";
|
2295 |
|
|
when 3894 => rom_word <= "00011000";
|
2296 |
|
|
when 3895 => rom_word <= "00110000";
|
2297 |
|
|
when 3896 => rom_word <= "01100000";
|
2298 |
|
|
when 3897 => rom_word <= "11000000";
|
2299 |
|
|
when 3899 => rom_word <= "11111001";
|
2300 |
|
|
when 3906 => rom_word <= "11000001";
|
2301 |
|
|
when 3907 => rom_word <= "01100011";
|
2302 |
|
|
when 3908 => rom_word <= "01100011";
|
2303 |
|
|
when 3909 => rom_word <= "01100000";
|
2304 |
|
|
when 3910 => rom_word <= "01100000";
|
2305 |
|
|
when 3911 => rom_word <= "01100000";
|
2306 |
|
|
when 3912 => rom_word <= "01100000";
|
2307 |
|
|
when 3913 => rom_word <= "01100000";
|
2308 |
|
|
when 3914 => rom_word <= "01100000";
|
2309 |
|
|
when 3915 => rom_word <= "01100000";
|
2310 |
|
|
when 3916 => rom_word <= "01100000";
|
2311 |
|
|
when 3917 => rom_word <= "01100000";
|
2312 |
|
|
when 3918 => rom_word <= "01100000";
|
2313 |
|
|
when 3919 => rom_word <= "01100000";
|
2314 |
|
|
when 3920 => rom_word <= "01100000";
|
2315 |
|
|
when 3921 => rom_word <= "01100000";
|
2316 |
|
|
when 3922 => rom_word <= "01100000";
|
2317 |
|
|
when 3923 => rom_word <= "01100000";
|
2318 |
|
|
when 3924 => rom_word <= "01100000";
|
2319 |
|
|
when 3925 => rom_word <= "01100000";
|
2320 |
|
|
when 3926 => rom_word <= "01100000";
|
2321 |
|
|
when 3927 => rom_word <= "01100000";
|
2322 |
|
|
when 3928 => rom_word <= "01100000";
|
2323 |
|
|
when 3929 => rom_word <= "01101100";
|
2324 |
|
|
when 3930 => rom_word <= "01101100";
|
2325 |
|
|
when 3931 => rom_word <= "01101100";
|
2326 |
|
|
when 3932 => rom_word <= "00111000";
|
2327 |
|
|
when 3941 => rom_word <= "01100000";
|
2328 |
|
|
when 3943 => rom_word <= "11111001";
|
2329 |
|
|
when 3945 => rom_word <= "01100000";
|
2330 |
|
|
when 3957 => rom_word <= "10111001";
|
2331 |
|
|
when 3958 => rom_word <= "11101100";
|
2332 |
|
|
when 3960 => rom_word <= "10111001";
|
2333 |
|
|
when 3961 => rom_word <= "11101100";
|
2334 |
|
|
when 3969 => rom_word <= "01110000";
|
2335 |
|
|
when 3970 => rom_word <= "11011000";
|
2336 |
|
|
when 3971 => rom_word <= "11011000";
|
2337 |
|
|
when 3972 => rom_word <= "01110000";
|
2338 |
|
|
when 3991 => rom_word <= "01100000";
|
2339 |
|
|
when 3992 => rom_word <= "01100000";
|
2340 |
|
|
when 4007 => rom_word <= "01100000";
|
2341 |
|
|
when 4017 => rom_word <= "11000011";
|
2342 |
|
|
when 4018 => rom_word <= "11000000";
|
2343 |
|
|
when 4019 => rom_word <= "11000000";
|
2344 |
|
|
when 4020 => rom_word <= "11000000";
|
2345 |
|
|
when 4021 => rom_word <= "11000000";
|
2346 |
|
|
when 4022 => rom_word <= "11000000";
|
2347 |
|
|
when 4023 => rom_word <= "11011100";
|
2348 |
|
|
when 4024 => rom_word <= "11011000";
|
2349 |
|
|
when 4025 => rom_word <= "11011000";
|
2350 |
|
|
when 4026 => rom_word <= "11110000";
|
2351 |
|
|
when 4027 => rom_word <= "11100000";
|
2352 |
|
|
when 4033 => rom_word <= "11011000";
|
2353 |
|
|
when 4034 => rom_word <= "10110001";
|
2354 |
|
|
when 4035 => rom_word <= "10110001";
|
2355 |
|
|
when 4036 => rom_word <= "10110001";
|
2356 |
|
|
when 4037 => rom_word <= "10110001";
|
2357 |
|
|
when 4038 => rom_word <= "10110001";
|
2358 |
|
|
when 4049 => rom_word <= "11110000";
|
2359 |
|
|
when 4050 => rom_word <= "10011001";
|
2360 |
|
|
when 4051 => rom_word <= "11000000";
|
2361 |
|
|
when 4052 => rom_word <= "01100000";
|
2362 |
|
|
when 4053 => rom_word <= "00110001";
|
2363 |
|
|
when 4054 => rom_word <= "11111001";
|
2364 |
|
|
when 4068 => rom_word <= "11111001";
|
2365 |
|
|
when 4069 => rom_word <= "11111001";
|
2366 |
|
|
when 4070 => rom_word <= "11111001";
|
2367 |
|
|
when 4071 => rom_word <= "11111001";
|
2368 |
|
|
when 4072 => rom_word <= "11111001";
|
2369 |
|
|
when 4073 => rom_word <= "11111001";
|
2370 |
|
|
when 4074 => rom_word <= "11111001";
|
2371 |
|
|
when others => rom_word <= X"00";
|
2372 |
|
|
end case;
|
2373 |
|
|
end if;
|
2374 |
|
|
end process;
|
2375 |
|
|
end rtl;
|