OpenCores
URL https://opencores.org/ocsvn/lcd/lcd/trunk

Subversion Repositories lcd

[/] [lcd/] [web_uploads/] [dffresv.shtml] - Blame information for rev 6

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 6 root
<html>
2
<head>
3
<title>OPENCORES.ORG</title>
4
<META NAME="keywords" CONTENT="cores, VHDL, Verilog HDL, ASIC, Synthesizable,
5
standard cell, IP, Intellectual Property, 32-bit RISC, UART, PCI, SDRAM,
6
full custom, system on a chip, SOC, reusable, design, development, synthesis,
7
designs, developers, C, Linux, eCos, open, free, open source cores, RTL code,
8
system-on-a-chip, circuits, digital, GNU, GPL, core, controller, processor,
9
system design, chip design, EDA, design methodology, design tools, ASICs, programmable logic,
10
FPGA's, PLDs, CPLDs, verification, Synthesis, HDL, Simulation, IC design software,
11
semiconductor design, integrated circuits, system designs, chip designs, EDAs,
12
design methodologies, design tool, ASIC, programmable logics, FPGA, PLD, CPLD, Synthesis,
13
circuit, Synopsys, system design, chip design, programmable logic, FPGA's, PLDs,
14
CPLDs, verification, Simulation">
15
<META NAME="description" CONTENT="OPENCORES.ORG endorses development and hosts
16
a repository of free, open source IP cores (chip designs, System-on-a-Chip) and
17
supplemental boards.">
18
</head>
19
 
20
<body bgcolor=#ffffff>
21
 
22
<table width="100%" cellspacing=5 cellpadding=0 border=0>
23
    <tr valign="top"><td>
24
    <center>
25
        <table cellspacing=0 cellpadding=5 width="100%" valign="top" border=0>
26
<tr valign="top"><td bgcolor=#f0f0f0 valign="top">
27
<center><font size=+3><b>OPENCORES.ORG</b></font>
28
<br><font size=-4><font color=#ffffff>.</font></font>
29
<br>
30
</center>
31
 
32
</td></tr></table>
33
 
34
 
35
    </center>
36
 
37
 
38
    </td></tr>
39
 
40
    <tr valign="top"><td>
41
    <table border=0 cellspacing=0 cellpadding=5 width="100%"><tr valign="top"><td bgcolor="#f8f8f0">
42
        &nbsp;
43
 
44
 
45
    </td>
46
    <td valign="top">
47
    <table cellpadding=5 width="671"><tr><td valign="top" width="655">
48
 
49
<font SIZE="2">-- VHDL structural description generated from `dffres`
50
<p>-- date : Wed Jan 10 18:51:06 2001</p>
51
<p>&nbsp;</p>
52
<p>-- Entity Declaration</p>
53
<p>ENTITY dffres IS</p>
54
<p>PORT (</p>
55
<p>input : in BIT; -- input</p>
56
<p>clk : in BIT; -- clk</p>
57
<p>reset : in BIT; -- reset</p>
58
<p>output : out BIT; -- output</p>
59
<p>vdd : in BIT; -- vdd</p>
60
<p>vss : in BIT -- vss</p>
61
<p>);</p>
62
<p>END dffres;</p>
63
<p>-- Architecture Declaration</p>
64
<p>ARCHITECTURE VST OF dffres IS</p>
65
<p>COMPONENT inv_x1</p>
66
<p>port (</p>
67
<p>i : in BIT; -- i</p>
68
<p>nq : out BIT; -- nq</p>
69
<p>vdd : in BIT; -- vdd</p>
70
<p>vss : in BIT -- vss</p>
71
<p>);</p>
72
<p>END COMPONENT;</p>
73
<p>COMPONENT o2_x2</p>
74
<p>port (</p>
75
<p>i0 : in BIT; -- i0</p>
76
<p>i1 : in BIT; -- i1</p>
77
<p>q : out BIT; -- q</p>
78
<p>vdd : in BIT; -- vdd</p>
79
<p>vss : in BIT -- vss</p>
80
<p>);</p>
81
<p>END COMPONENT;</p>
82
<p>COMPONENT no2_x1</p>
83
<p>port (</p>
84
<p>i0 : in BIT; -- i0</p>
85
<p>i1 : in BIT; -- i1</p>
86
<p>nq : out BIT; -- nq</p>
87
<p>vdd : in BIT; -- vdd</p>
88
<p>vss : in BIT -- vss</p>
89
<p>);</p>
90
<p>END COMPONENT;</p>
91
<p>COMPONENT sff1_x4</p>
92
<p>port (</p>
93
<p>ck : in BIT; -- ck</p>
94
<p>i : in BIT; -- i</p>
95
<p>q : out BIT; -- q</p>
96
<p>vdd : in BIT; -- vdd</p>
97
<p>vss : in BIT -- vss</p>
98
<p>);</p>
99
<p>END COMPONENT;</p>
100
<p>SIGNAL auxsc3 : BIT; -- auxsc3</p>
101
<p>SIGNAL auxsc4 : BIT; -- auxsc4</p>
102
<p>SIGNAL auxreg1 : BIT; -- auxreg1</p>
103
<p>BEGIN</p>
104
<p>output : inv_x1</p>
105
<p>PORT MAP (</p>
106
<p>vss =&gt; vss,</p>
107
<p>vdd =&gt; vdd,</p>
108
<p>nq =&gt; output,</p>
109
<p>i =&gt; auxreg1);</p>
110
<p>auxsc4 : o2_x2</p>
111
<p>PORT MAP (</p>
112
<p>vss =&gt; vss,</p>
113
<p>vdd =&gt; vdd,</p>
114
<p>q =&gt; auxsc4,</p>
115
<p>i1 =&gt; auxsc3,</p>
116
<p>i0 =&gt; reset);</p>
117
<p>auxsc3 : no2_x1</p>
118
<p>PORT MAP (</p>
119
<p>vss =&gt; vss,</p>
120
<p>vdd =&gt; vdd,</p>
121
<p>nq =&gt; auxsc3,</p>
122
<p>i1 =&gt; input,</p>
123
<p>i0 =&gt; reset);</p>
124
<p>dffres_reg : sff1_x4</p>
125
<p>PORT MAP (</p>
126
<p>vss =&gt; vss,</p>
127
<p>vdd =&gt; vdd,</p>
128
<p>q =&gt; auxreg1,</p>
129
<p>i =&gt; auxsc4,</p>
130
<p>ck =&gt; clk);</p>
131
<p>end VST;</p>
132
</font>
133
 
134
<b><font size=+1>Maintainers and Authors :</font></b>
135
<p>LCD Driver development team
136
<p>current members:
137
 
138
<ul>
139
<li>
140
<a href="mailto:marta@vlsi.itb.ac.id">Hendra Gunawan</a></li>
141
 
142
<li>
143
<a href="mailto:sigit@students.ee.itb.ac.id">Nurhadi Wiyono</a></li>
144
 
145
<li>
146
<a href="mailto:sigit@students.ee.itb.ac.id">Kharisma Sinung P</a></li>
147
 
148
</ul>
149
&nbsp;
150
<p>
151
<b><font size=+1>Mailing-list:</font></b>
152
<ul><a href="mailto:cores@opencores.org_NOSPAM">cores@opencores.org_NOSPAM</a></ul>
153
 
154
 
155
 
156
 
157
 
158
 
159
</td></tr></table>
160
</td></tr>
161
<tr><td bgcolor="#f8f8f0">&nbsp;</td>
162
<td valign="bottom">
163
<table cellspacing=0 cellpadding=4 border=0 width="100%"bgcolor="#f0f0f0"><tr>
164
<td align=left><i><small>Last modified on Sunday, 17-Sep-2000 03:58:04 JAVT</i></td>
165
<td align=right><i><small>Copyright © 1999-2000 OPENCORES.ORG. All rights reserved.</td>
166
</tr></table>
167
 
168
</td></tr></table>
169
 
170
</td></tr></table>
171
 
172
</body></html>

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.