OpenCores
URL https://opencores.org/ocsvn/lcd1/lcd1/trunk

Subversion Repositories lcd1

[/] [lcd1/] [tags/] [ver/] [it] - Blame information for rev 6

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimo
vcom src/asci_types.vhd
2
vcom src/lcd1.vhd
3
vcom src/generic_freq_div.vhd
4
vcom src/components.vhd
5
vcom src/topEntity.vhd
6
vcom src/topEntity_tb.vhd
7
restart
8
run 1000 ns

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.