OpenCores
URL https://opencores.org/ocsvn/lcd1/lcd1/trunk

Subversion Repositories lcd1

[/] [lcd1/] [tags/] [ver/] [src/] [topEntity.do] - Blame information for rev 6

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimo
add wave *
2
run 1000 ns
3
restart -nowave

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.