OpenCores
URL https://opencores.org/ocsvn/lcd1/lcd1/trunk

Subversion Repositories lcd1

[/] [lcd1/] [tags/] [ver/] [src/] [topEntity.vhd] - Blame information for rev 6

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimo
library ieee;
2
use ieee.std_logic_1164.all;
3
use work.components.all;
4
 
5
entity topEntity is
6
port( clk, rst : in std_logic;
7
      lcd_data : out std_logic_vector (7 downto 0);
8
      lcd_rs, lcd_rw, lcd_ena : out std_logic;
9
      led : out std_logic_vector (0 downto 0) ); -- used for debug purposes
10
end topEntity;
11
 
12
architecture structural of topEntity is
13
  signal clk_400 : std_logic;           -- clock with 4us period, used for debug
14
begin
15
div1000: generic_freq_div
16
  port map (clk_in => clk, clk => clk_400);
17
 
18
lcd_1: lcd1
19
  port map (clk => clk, rst => rst, clk_400 => clk_400, lcd_rs => lcd_rs,
20
            lcd_ena => lcd_ena, lcd_rw => lcd_rw,
21
            lcd_data (7 downto 0) => lcd_data (7 downto 0), led(0) => led(0) );
22
end structural;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.