OpenCores
URL https://opencores.org/ocsvn/lcd1/lcd1/trunk

Subversion Repositories lcd1

[/] [lcd1/] [trunk/] [modelsim/] [work/] [lcd1/] [_primary.dat] - Blame information for rev 6

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimo
p4
2
fL5ZKȵLdMa]*FtP8  I
`
3
]*FtP28I
`G+&@
	=X>~c6f9aOj
g}	)W9`_sUUP
{	Qcסs:vGTdS
>	8WK$G~pf`9\Zg
tM	ΤDD`L+UPh
K@	a;p+td4CR@.
4
![clwIyI ހ   x.]9}Fgeǝr-fqC O6$f>f
b)T~`EY6E!6R!
Ka
4,̙ow`I4RVUV9=_gP47#0o:fcFXG%QB_k;ur'MK'i^\ygq5Us\*jB]NB's>;qP=n[9     3(:Nw^٢-wr-ؐu=-8˫.E[&wx,o7t;%_,Rd\Sbu{Y3wJv}"&:JnF
F0kfnER*LdkCrywb
5
$[ 1 Bl
6
w1ϸ,V!`7cR[ΰUc

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.