OpenCores
URL https://opencores.org/ocsvn/lcd_block/lcd_block/trunk

Subversion Repositories lcd_block

[/] [lcd_block/] [trunk/] [hdl/] [iseProject/] [testLcd_controller.v] - Blame information for rev 6

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 leonardoar
`timescale 1ns / 1ps
2
 
3
module testLcd_controller;
4
 
5
        // Inputs
6
        reg rst;
7
        reg clk;
8
        reg [7:0] data_in;
9
        reg strobe_in;
10
        reg [7:0] period_clk_ns;
11
 
12
        // Outputs
13
        wire lcd_e;
14
        wire [3:0] lcd_nibble;
15
        wire lcd_rs;
16
        wire lcd_rw;
17
        wire disable_flash;
18
        wire done;
19
 
20
        // Instantiate the Unit Under Test (UUT)
21
        lcd_controller uut (
22
                .rst(rst),
23
                .clk(clk),
24
                .data_in(data_in),
25
                .strobe_in(strobe_in),
26
                .period_clk_ns(period_clk_ns),
27
                .lcd_e(lcd_e),
28
                .lcd_nibble(lcd_nibble),
29
                .lcd_rs(lcd_rs),
30
                .lcd_rw(lcd_rw),
31
                .disable_flash(disable_flash),
32
                .done(done)
33
        );
34
 
35 6 leonardoar
        // Create clock
36
        always
37
        begin
38
                #10 clk = ~clk; // Toogle the clock each 10ns (20ns period is 50Mhz)
39
        end
40
 
41
        initial
42
        begin
43 5 leonardoar
                // Initialize Inputs
44 6 leonardoar
                $display($time, " << Starting the Simulation >>");
45
                rst = 1;
46 5 leonardoar
                clk = 0;
47
                data_in = 0;
48
                strobe_in = 0;
49 6 leonardoar
                period_clk_ns = 20;     // Indicate the number of time at each cycle (20 ns in our case)
50 5 leonardoar
 
51 6 leonardoar
                // Wait for one clock cycle to reset
52
                #20;
53
                rst = 0;
54 5 leonardoar
 
55
                // Add stimulus here
56
 
57
        end
58
 
59
endmodule
60
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.