OpenCores
URL https://opencores.org/ocsvn/lcd_block/lcd_block/trunk

Subversion Repositories lcd_block

[/] [lcd_block/] [trunk/] [hdl/] [iseProject/] [top_hw_testbench.cpj] - Blame information for rev 11

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 11 leonardoar
#ChipScope Pro Analyzer Project File, Version 3.0
2
#Wed May 23 02:07:27 CEST 2012
3
device.0.configFileDir=E\:\\lcd_block\\hdl\\iseProject
4
device.0.configFilename=top_hw_testbench.bit
5
device.0.inserterCDCFileDir=E\:\\lcd_block\\hdl\\iseProject
6
device.0.inserterCDCFilename=
7
deviceChain.deviceName0=XC3S500E
8
deviceChain.deviceName1=XCF04S
9
deviceChain.deviceName2=XC2C64A
10
deviceChain.iRLength0=6
11
deviceChain.iRLength1=8
12
deviceChain.iRLength2=8
13
deviceChain.name0=MyDevice0
14
deviceChain.name1=MyDevice1
15
deviceChain.name2=MyDevice2
16
deviceIds=41c22093f504609306e5e093
17
mdiAreaHeight=0.7407407407407407
18
mdiAreaHeightLast=0.6990740740740741
19
mdiCount=3
20
mdiDevice0=0
21
mdiDevice1=0
22
mdiDevice2=0
23
mdiType0=1
24
mdiType1=0
25
mdiType2=6
26
mdiUnit0=0
27
mdiUnit1=0
28
mdiUnit2=1
29
navigatorHeight=0.17708333333333334
30
navigatorHeightLast=0.17939814814814814
31
navigatorWidth=0.1794871794871795
32
navigatorWidthLast=0.1794871794871795
33
signalDisplayPath=0
34
unit.0.0.0.HEIGHT0=0.36263737
35
unit.0.0.0.TriggerRow0=1
36
unit.0.0.0.TriggerRow1=1
37
unit.0.0.0.TriggerRow2=1
38
unit.0.0.0.WIDTH0=0.6702786
39
unit.0.0.0.X0=0.0
40
unit.0.0.0.Y0=0.0
41
unit.0.0.1.HEIGHT1=0.62166405
42
unit.0.0.1.WIDTH1=1.0
43
unit.0.0.1.X1=0.0015479876
44
unit.0.0.1.Y1=0.3610675
45
unit.0.0.MFBitsA0=R
46
unit.0.0.MFBitsB0=0
47
unit.0.0.MFCompareA0=0
48
unit.0.0.MFCompareB0=999
49
unit.0.0.MFCount=1
50
unit.0.0.MFDisplay0=0
51
unit.0.0.MFEventType0=3
52
unit.0.0.RunMode=REPETITIVE RUN
53
unit.0.0.SQCondition=All Data
54
unit.0.0.SQContiguous0=0
55
unit.0.0.SequencerOn=0
56
unit.0.0.TCActive=0
57
unit.0.0.TCAdvanced0=0
58
unit.0.0.TCCondition0_0=M0
59
unit.0.0.TCCondition0_1=
60
unit.0.0.TCConditionType0=0
61
unit.0.0.TCCount=1
62
unit.0.0.TCEventCount0=1
63
unit.0.0.TCEventType0=3
64
unit.0.0.TCName0=TriggerCondition0
65
unit.0.0.TCOutputEnable0=0
66
unit.0.0.TCOutputHigh0=1
67
unit.0.0.TCOutputMode0=0
68
unit.0.0.browser_tree_state=1
69
unit.0.0.coretype=ILA
70
unit.0.0.eventCount0=1
71
unit.0.0.port.-1.b.0.alias=lcd_nibble
72
unit.0.0.port.-1.b.0.channellist=5 6 7 8
73
unit.0.0.port.-1.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
74
unit.0.0.port.-1.b.0.name=DataPort
75
unit.0.0.port.-1.b.0.orderindex=-1
76
unit.0.0.port.-1.b.0.radix=Hex
77
unit.0.0.port.-1.b.0.signedOffset=0.0
78
unit.0.0.port.-1.b.0.signedPrecision=0
79
unit.0.0.port.-1.b.0.signedScaleFactor=1.0
80
unit.0.0.port.-1.b.0.tokencount=0
81
unit.0.0.port.-1.b.0.unsignedOffset=0.0
82
unit.0.0.port.-1.b.0.unsignedPrecision=0
83
unit.0.0.port.-1.b.0.unsignedScaleFactor=1.0
84
unit.0.0.port.-1.b.0.visible=1
85
unit.0.0.port.-1.buscount=1
86
unit.0.0.port.-1.channelcount=17
87
unit.0.0.port.-1.s.0.alias=strobe_in
88
unit.0.0.port.-1.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
89
unit.0.0.port.-1.s.0.name=DataPort[0]
90
unit.0.0.port.-1.s.0.orderindex=-1
91
unit.0.0.port.-1.s.0.visible=1
92
unit.0.0.port.-1.s.1.alias=done
93
unit.0.0.port.-1.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
94
unit.0.0.port.-1.s.1.name=DataPort[1]
95
unit.0.0.port.-1.s.1.orderindex=-1
96
unit.0.0.port.-1.s.1.visible=1
97
unit.0.0.port.-1.s.10.alias=
98
unit.0.0.port.-1.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
99
unit.0.0.port.-1.s.10.name=DataPort[10]
100
unit.0.0.port.-1.s.10.orderindex=-1
101
unit.0.0.port.-1.s.10.visible=1
102
unit.0.0.port.-1.s.11.alias=
103
unit.0.0.port.-1.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
104
unit.0.0.port.-1.s.11.name=DataPort[11]
105
unit.0.0.port.-1.s.11.orderindex=-1
106
unit.0.0.port.-1.s.11.visible=1
107
unit.0.0.port.-1.s.12.alias=
108
unit.0.0.port.-1.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
109
unit.0.0.port.-1.s.12.name=DataPort[12]
110
unit.0.0.port.-1.s.12.orderindex=-1
111
unit.0.0.port.-1.s.12.visible=1
112
unit.0.0.port.-1.s.13.alias=
113
unit.0.0.port.-1.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
114
unit.0.0.port.-1.s.13.name=DataPort[13]
115
unit.0.0.port.-1.s.13.orderindex=-1
116
unit.0.0.port.-1.s.13.visible=1
117
unit.0.0.port.-1.s.14.alias=
118
unit.0.0.port.-1.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
119
unit.0.0.port.-1.s.14.name=DataPort[14]
120
unit.0.0.port.-1.s.14.orderindex=-1
121
unit.0.0.port.-1.s.14.visible=1
122
unit.0.0.port.-1.s.15.alias=
123
unit.0.0.port.-1.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
124
unit.0.0.port.-1.s.15.name=DataPort[15]
125
unit.0.0.port.-1.s.15.orderindex=-1
126
unit.0.0.port.-1.s.15.visible=1
127
unit.0.0.port.-1.s.16.alias=
128
unit.0.0.port.-1.s.16.color=java.awt.Color[r\=0,g\=0,b\=124]
129
unit.0.0.port.-1.s.16.name=DataPort[16]
130
unit.0.0.port.-1.s.16.orderindex=-1
131
unit.0.0.port.-1.s.16.visible=1
132
unit.0.0.port.-1.s.2.alias=disable_flash
133
unit.0.0.port.-1.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
134
unit.0.0.port.-1.s.2.name=DataPort[2]
135
unit.0.0.port.-1.s.2.orderindex=-1
136
unit.0.0.port.-1.s.2.visible=1
137
unit.0.0.port.-1.s.3.alias=lcd_rw
138
unit.0.0.port.-1.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
139
unit.0.0.port.-1.s.3.name=DataPort[3]
140
unit.0.0.port.-1.s.3.orderindex=-1
141
unit.0.0.port.-1.s.3.visible=1
142
unit.0.0.port.-1.s.4.alias=lcd_rs
143
unit.0.0.port.-1.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
144
unit.0.0.port.-1.s.4.name=DataPort[4]
145
unit.0.0.port.-1.s.4.orderindex=-1
146
unit.0.0.port.-1.s.4.visible=1
147
unit.0.0.port.-1.s.5.alias=
148
unit.0.0.port.-1.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
149
unit.0.0.port.-1.s.5.name=DataPort[5]
150
unit.0.0.port.-1.s.5.orderindex=-1
151
unit.0.0.port.-1.s.5.visible=0
152
unit.0.0.port.-1.s.6.alias=
153
unit.0.0.port.-1.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
154
unit.0.0.port.-1.s.6.name=DataPort[6]
155
unit.0.0.port.-1.s.6.orderindex=-1
156
unit.0.0.port.-1.s.6.visible=0
157
unit.0.0.port.-1.s.7.alias=
158
unit.0.0.port.-1.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
159
unit.0.0.port.-1.s.7.name=DataPort[7]
160
unit.0.0.port.-1.s.7.orderindex=-1
161
unit.0.0.port.-1.s.7.visible=0
162
unit.0.0.port.-1.s.8.alias=
163
unit.0.0.port.-1.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
164
unit.0.0.port.-1.s.8.name=DataPort[8]
165
unit.0.0.port.-1.s.8.orderindex=-1
166
unit.0.0.port.-1.s.8.visible=0
167
unit.0.0.port.-1.s.9.alias=lcd_e
168
unit.0.0.port.-1.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
169
unit.0.0.port.-1.s.9.name=DataPort[9]
170
unit.0.0.port.-1.s.9.orderindex=-1
171
unit.0.0.port.-1.s.9.visible=1
172
unit.0.0.port.0.b.0.alias=
173
unit.0.0.port.0.b.0.channellist=0
174
unit.0.0.port.0.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
175
unit.0.0.port.0.b.0.name=TriggerPort0
176
unit.0.0.port.0.b.0.orderindex=-1
177
unit.0.0.port.0.b.0.radix=Hex
178
unit.0.0.port.0.b.0.signedOffset=0.0
179
unit.0.0.port.0.b.0.signedPrecision=0
180
unit.0.0.port.0.b.0.signedScaleFactor=1.0
181
unit.0.0.port.0.b.0.unsignedOffset=0.0
182
unit.0.0.port.0.b.0.unsignedPrecision=0
183
unit.0.0.port.0.b.0.unsignedScaleFactor=1.0
184
unit.0.0.port.0.b.0.visible=1
185
unit.0.0.port.0.buscount=1
186
unit.0.0.port.0.channelcount=1
187
unit.0.0.port.0.s.0.alias=
188
unit.0.0.port.0.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
189
unit.0.0.port.0.s.0.name=TriggerPort0[0]
190
unit.0.0.port.0.s.0.orderindex=-1
191
unit.0.0.port.0.s.0.visible=1
192
unit.0.0.portcount=1
193
unit.0.0.rep_trigger.clobber=1
194
unit.0.0.rep_trigger.dir=E\:\\lcd_block\\hdl\\iseProject
195
unit.0.0.rep_trigger.filename=waveform
196
unit.0.0.rep_trigger.format=ASCII
197
unit.0.0.rep_trigger.loggingEnabled=0
198
unit.0.0.rep_trigger.signals=All Signals/Buses
199
unit.0.0.samplesPerTrigger=1
200
unit.0.0.triggerCapture=1
201
unit.0.0.triggerNSamplesTS=0
202
unit.0.0.triggerPosition=0
203
unit.0.0.triggerWindowCount=1
204
unit.0.0.triggerWindowDepth=16384
205
unit.0.0.triggerWindowTS=0
206
unit.0.0.username=MyILA0
207
unit.0.0.waveform.count=14
208
unit.0.0.waveform.posn.0.channel=0
209
unit.0.0.waveform.posn.0.name=strobe_in
210
unit.0.0.waveform.posn.0.type=signal
211
unit.0.0.waveform.posn.1.channel=1
212
unit.0.0.waveform.posn.1.name=done
213
unit.0.0.waveform.posn.1.type=signal
214
unit.0.0.waveform.posn.10.channel=13
215
unit.0.0.waveform.posn.10.name=DataPort[13]
216
unit.0.0.waveform.posn.10.type=signal
217
unit.0.0.waveform.posn.11.channel=14
218
unit.0.0.waveform.posn.11.name=DataPort[14]
219
unit.0.0.waveform.posn.11.type=signal
220
unit.0.0.waveform.posn.12.channel=15
221
unit.0.0.waveform.posn.12.name=DataPort[15]
222
unit.0.0.waveform.posn.12.type=signal
223
unit.0.0.waveform.posn.13.channel=16
224
unit.0.0.waveform.posn.13.name=DataPort[16]
225
unit.0.0.waveform.posn.13.type=signal
226
unit.0.0.waveform.posn.14.channel=16
227
unit.0.0.waveform.posn.14.name=DataPort[16]
228
unit.0.0.waveform.posn.14.type=signal
229
unit.0.0.waveform.posn.15.channel=16
230
unit.0.0.waveform.posn.15.name=DataPort[16]
231
unit.0.0.waveform.posn.15.type=signal
232
unit.0.0.waveform.posn.16.channel=16
233
unit.0.0.waveform.posn.16.name=DataPort[16]
234
unit.0.0.waveform.posn.16.type=signal
235
unit.0.0.waveform.posn.2.channel=2
236
unit.0.0.waveform.posn.2.name=disable_flash
237
unit.0.0.waveform.posn.2.type=signal
238
unit.0.0.waveform.posn.3.channel=3
239
unit.0.0.waveform.posn.3.name=lcd_rw
240
unit.0.0.waveform.posn.3.type=signal
241
unit.0.0.waveform.posn.4.channel=4
242
unit.0.0.waveform.posn.4.name=lcd_rs
243
unit.0.0.waveform.posn.4.type=signal
244
unit.0.0.waveform.posn.5.channel=2147483646
245
unit.0.0.waveform.posn.5.name=lcd_nibble
246
unit.0.0.waveform.posn.5.radix=1
247
unit.0.0.waveform.posn.5.type=bus
248
unit.0.0.waveform.posn.6.channel=9
249
unit.0.0.waveform.posn.6.name=lcd_e
250
unit.0.0.waveform.posn.6.type=signal
251
unit.0.0.waveform.posn.7.channel=10
252
unit.0.0.waveform.posn.7.name=DataPort[10]
253
unit.0.0.waveform.posn.7.type=signal
254
unit.0.0.waveform.posn.8.channel=11
255
unit.0.0.waveform.posn.8.name=DataPort[11]
256
unit.0.0.waveform.posn.8.type=signal
257
unit.0.0.waveform.posn.9.channel=12
258
unit.0.0.waveform.posn.9.name=DataPort[12]
259
unit.0.0.waveform.posn.9.type=signal
260
unit.0.0.waveform.rulerdisplay=1
261
unit.0.0.waveform.shownegative=0
262
unit.0.0.waveform.showtriggermarkers=1
263
unit.0.0.waveform.showwindowmarkers=1
264
unit.0.1.6.HEIGHT6=0.33751962
265
unit.0.1.6.WIDTH6=0.33049536
266
unit.0.1.6.X6=0.67105263
267
unit.0.1.6.Y6=0.007849294
268
unit.0.1.browser_tree_state=1
269
unit.0.1.coretype=VIO
270
unit.0.1.port.-1.buscount=0
271
unit.0.1.port.-1.channelcount=0
272
unit.0.1.port.0.buscount=0
273
unit.0.1.port.0.channelcount=0
274
unit.0.1.port.1.b.0.alias=data_in
275
unit.0.1.port.1.b.0.channellist=9 10 11 12 13 14 15 16
276
unit.0.1.port.1.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
277
unit.0.1.port.1.b.0.display=0
278
unit.0.1.port.1.b.0.name=AsyncOut_1
279
unit.0.1.port.1.b.0.orderindex=-1
280
unit.0.1.port.1.b.0.radix=Ascii
281
unit.0.1.port.1.b.0.signedOffset=0.0
282
unit.0.1.port.1.b.0.signedPrecision=0
283
unit.0.1.port.1.b.0.signedScaleFactor=1.0
284
unit.0.1.port.1.b.0.tokencount=0
285
unit.0.1.port.1.b.0.unsignedOffset=0.0
286
unit.0.1.port.1.b.0.unsignedPrecision=0
287
unit.0.1.port.1.b.0.unsignedScaleFactor=1.0
288
unit.0.1.port.1.b.0.value=A
289
unit.0.1.port.1.b.0.visible=1
290
unit.0.1.port.1.b.1.alias=period_clk_ns
291
unit.0.1.port.1.b.1.channellist=0 1 2 3 4 5 6 7
292
unit.0.1.port.1.b.1.color=java.awt.Color[r\=0,g\=0,b\=124]
293
unit.0.1.port.1.b.1.display=0
294
unit.0.1.port.1.b.1.name=AsyncOut
295
unit.0.1.port.1.b.1.orderindex=-1
296
unit.0.1.port.1.b.1.radix=Unsigned
297
unit.0.1.port.1.b.1.signedOffset=0.0
298
unit.0.1.port.1.b.1.signedPrecision=0
299
unit.0.1.port.1.b.1.signedScaleFactor=1.0
300
unit.0.1.port.1.b.1.tokencount=0
301
unit.0.1.port.1.b.1.unsignedOffset=0.0
302
unit.0.1.port.1.b.1.unsignedPrecision=0
303
unit.0.1.port.1.b.1.unsignedScaleFactor=1.0
304
unit.0.1.port.1.b.1.value=20
305
unit.0.1.port.1.b.1.visible=1
306
unit.0.1.port.1.buscount=2
307
unit.0.1.port.1.channelcount=19
308
unit.0.1.port.1.s.0.alias=
309
unit.0.1.port.1.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
310
unit.0.1.port.1.s.0.display=0
311
unit.0.1.port.1.s.0.name=AsyncOut[0]
312
unit.0.1.port.1.s.0.orderindex=-1
313
unit.0.1.port.1.s.0.persistence=0
314
unit.0.1.port.1.s.0.value=0
315
unit.0.1.port.1.s.0.visible=0
316
unit.0.1.port.1.s.1.alias=
317
unit.0.1.port.1.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
318
unit.0.1.port.1.s.1.display=0
319
unit.0.1.port.1.s.1.name=AsyncOut[1]
320
unit.0.1.port.1.s.1.orderindex=-1
321
unit.0.1.port.1.s.1.persistence=0
322
unit.0.1.port.1.s.1.value=0
323
unit.0.1.port.1.s.1.visible=0
324
unit.0.1.port.1.s.10.alias=
325
unit.0.1.port.1.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
326
unit.0.1.port.1.s.10.display=0
327
unit.0.1.port.1.s.10.name=AsyncOut[10]
328
unit.0.1.port.1.s.10.orderindex=-1
329
unit.0.1.port.1.s.10.persistence=0
330
unit.0.1.port.1.s.10.value=0
331
unit.0.1.port.1.s.10.visible=0
332
unit.0.1.port.1.s.11.alias=
333
unit.0.1.port.1.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
334
unit.0.1.port.1.s.11.display=0
335
unit.0.1.port.1.s.11.name=AsyncOut[11]
336
unit.0.1.port.1.s.11.orderindex=-1
337
unit.0.1.port.1.s.11.persistence=0
338
unit.0.1.port.1.s.11.value=0
339
unit.0.1.port.1.s.11.visible=0
340
unit.0.1.port.1.s.12.alias=
341
unit.0.1.port.1.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
342
unit.0.1.port.1.s.12.display=0
343
unit.0.1.port.1.s.12.name=AsyncOut[12]
344
unit.0.1.port.1.s.12.orderindex=-1
345
unit.0.1.port.1.s.12.persistence=0
346
unit.0.1.port.1.s.12.value=0
347
unit.0.1.port.1.s.12.visible=0
348
unit.0.1.port.1.s.13.alias=
349
unit.0.1.port.1.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
350
unit.0.1.port.1.s.13.display=0
351
unit.0.1.port.1.s.13.name=AsyncOut[13]
352
unit.0.1.port.1.s.13.orderindex=-1
353
unit.0.1.port.1.s.13.persistence=0
354
unit.0.1.port.1.s.13.value=0
355
unit.0.1.port.1.s.13.visible=0
356
unit.0.1.port.1.s.14.alias=
357
unit.0.1.port.1.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
358
unit.0.1.port.1.s.14.display=0
359
unit.0.1.port.1.s.14.name=AsyncOut[14]
360
unit.0.1.port.1.s.14.orderindex=-1
361
unit.0.1.port.1.s.14.persistence=0
362
unit.0.1.port.1.s.14.value=0
363
unit.0.1.port.1.s.14.visible=0
364
unit.0.1.port.1.s.15.alias=
365
unit.0.1.port.1.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
366
unit.0.1.port.1.s.15.display=0
367
unit.0.1.port.1.s.15.name=AsyncOut[15]
368
unit.0.1.port.1.s.15.orderindex=-1
369
unit.0.1.port.1.s.15.persistence=0
370
unit.0.1.port.1.s.15.value=1
371
unit.0.1.port.1.s.15.visible=0
372
unit.0.1.port.1.s.16.alias=
373
unit.0.1.port.1.s.16.color=java.awt.Color[r\=0,g\=0,b\=124]
374
unit.0.1.port.1.s.16.display=0
375
unit.0.1.port.1.s.16.name=AsyncOut[16]
376
unit.0.1.port.1.s.16.orderindex=-1
377
unit.0.1.port.1.s.16.persistence=0
378
unit.0.1.port.1.s.16.value=0
379
unit.0.1.port.1.s.16.visible=0
380
unit.0.1.port.1.s.17.alias=rs_in
381
unit.0.1.port.1.s.17.color=java.awt.Color[r\=0,g\=0,b\=124]
382
unit.0.1.port.1.s.17.display=3
383
unit.0.1.port.1.s.17.name=AsyncOut[17]
384
unit.0.1.port.1.s.17.orderindex=-1
385
unit.0.1.port.1.s.17.persistence=0
386
unit.0.1.port.1.s.17.value=0
387
unit.0.1.port.1.s.17.visible=1
388
unit.0.1.port.1.s.18.alias=rst
389
unit.0.1.port.1.s.18.color=java.awt.Color[r\=0,g\=0,b\=124]
390
unit.0.1.port.1.s.18.display=1
391
unit.0.1.port.1.s.18.name=AsyncOut[18]
392
unit.0.1.port.1.s.18.orderindex=-1
393
unit.0.1.port.1.s.18.persistence=0
394
unit.0.1.port.1.s.18.value=0
395
unit.0.1.port.1.s.18.visible=1
396
unit.0.1.port.1.s.2.alias=
397
unit.0.1.port.1.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
398
unit.0.1.port.1.s.2.display=0
399
unit.0.1.port.1.s.2.name=AsyncOut[2]
400
unit.0.1.port.1.s.2.orderindex=-1
401
unit.0.1.port.1.s.2.persistence=0
402
unit.0.1.port.1.s.2.value=1
403
unit.0.1.port.1.s.2.visible=0
404
unit.0.1.port.1.s.3.alias=
405
unit.0.1.port.1.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
406
unit.0.1.port.1.s.3.display=0
407
unit.0.1.port.1.s.3.name=AsyncOut[3]
408
unit.0.1.port.1.s.3.orderindex=-1
409
unit.0.1.port.1.s.3.persistence=0
410
unit.0.1.port.1.s.3.value=0
411
unit.0.1.port.1.s.3.visible=0
412
unit.0.1.port.1.s.4.alias=
413
unit.0.1.port.1.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
414
unit.0.1.port.1.s.4.display=0
415
unit.0.1.port.1.s.4.name=AsyncOut[4]
416
unit.0.1.port.1.s.4.orderindex=-1
417
unit.0.1.port.1.s.4.persistence=0
418
unit.0.1.port.1.s.4.value=1
419
unit.0.1.port.1.s.4.visible=0
420
unit.0.1.port.1.s.5.alias=
421
unit.0.1.port.1.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
422
unit.0.1.port.1.s.5.display=0
423
unit.0.1.port.1.s.5.name=AsyncOut[5]
424
unit.0.1.port.1.s.5.orderindex=-1
425
unit.0.1.port.1.s.5.persistence=0
426
unit.0.1.port.1.s.5.value=0
427
unit.0.1.port.1.s.5.visible=0
428
unit.0.1.port.1.s.6.alias=
429
unit.0.1.port.1.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
430
unit.0.1.port.1.s.6.display=0
431
unit.0.1.port.1.s.6.name=AsyncOut[6]
432
unit.0.1.port.1.s.6.orderindex=-1
433
unit.0.1.port.1.s.6.persistence=0
434
unit.0.1.port.1.s.6.value=0
435
unit.0.1.port.1.s.6.visible=0
436
unit.0.1.port.1.s.7.alias=
437
unit.0.1.port.1.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
438
unit.0.1.port.1.s.7.display=0
439
unit.0.1.port.1.s.7.name=AsyncOut[7]
440
unit.0.1.port.1.s.7.orderindex=-1
441
unit.0.1.port.1.s.7.persistence=0
442
unit.0.1.port.1.s.7.value=0
443
unit.0.1.port.1.s.7.visible=0
444
unit.0.1.port.1.s.8.alias=strobe_in
445
unit.0.1.port.1.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
446
unit.0.1.port.1.s.8.display=1
447
unit.0.1.port.1.s.8.name=AsyncOut[8]
448
unit.0.1.port.1.s.8.orderindex=-1
449
unit.0.1.port.1.s.8.persistence=0
450
unit.0.1.port.1.s.8.value=0
451
unit.0.1.port.1.s.8.visible=1
452
unit.0.1.port.1.s.9.alias=
453
unit.0.1.port.1.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
454
unit.0.1.port.1.s.9.display=0
455
unit.0.1.port.1.s.9.name=AsyncOut[9]
456
unit.0.1.port.1.s.9.orderindex=-1
457
unit.0.1.port.1.s.9.persistence=0
458
unit.0.1.port.1.s.9.value=1
459
unit.0.1.port.1.s.9.visible=0
460
unit.0.1.port.2.buscount=0
461
unit.0.1.port.2.channelcount=0
462
unit.0.1.portcount=3
463
unit.0.1.username=MyVIO1
464
unit.0.1.vio.count=5
465
unit.0.1.vio.posn.0.channel=2147483646
466
unit.0.1.vio.posn.0.name=period_clk_ns
467
unit.0.1.vio.posn.0.port=1
468
unit.0.1.vio.posn.0.radix=4
469
unit.0.1.vio.posn.0.type=bus
470
unit.0.1.vio.posn.1.channel=8
471
unit.0.1.vio.posn.1.name=strobe_in
472
unit.0.1.vio.posn.1.port=1
473
unit.0.1.vio.posn.1.type=signal
474
unit.0.1.vio.posn.10.channel=18
475
unit.0.1.vio.posn.10.name=AsyncOut[18]
476
unit.0.1.vio.posn.10.port=1
477
unit.0.1.vio.posn.10.type=signal
478
unit.0.1.vio.posn.11.channel=18
479
unit.0.1.vio.posn.11.name=AsyncOut[18]
480
unit.0.1.vio.posn.11.port=1
481
unit.0.1.vio.posn.11.type=signal
482
unit.0.1.vio.posn.12.channel=18
483
unit.0.1.vio.posn.12.name=AsyncOut[18]
484
unit.0.1.vio.posn.12.port=1
485
unit.0.1.vio.posn.12.type=signal
486
unit.0.1.vio.posn.13.channel=18
487
unit.0.1.vio.posn.13.name=AsyncOut[18]
488
unit.0.1.vio.posn.13.port=1
489
unit.0.1.vio.posn.13.type=signal
490
unit.0.1.vio.posn.14.channel=18
491
unit.0.1.vio.posn.14.name=AsyncOut[18]
492
unit.0.1.vio.posn.14.port=1
493
unit.0.1.vio.posn.14.type=signal
494
unit.0.1.vio.posn.15.channel=18
495
unit.0.1.vio.posn.15.name=AsyncOut[18]
496
unit.0.1.vio.posn.15.port=1
497
unit.0.1.vio.posn.15.type=signal
498
unit.0.1.vio.posn.16.channel=18
499
unit.0.1.vio.posn.16.name=AsyncOut[18]
500
unit.0.1.vio.posn.16.port=1
501
unit.0.1.vio.posn.16.type=signal
502
unit.0.1.vio.posn.17.channel=18
503
unit.0.1.vio.posn.17.name=AsyncOut[18]
504
unit.0.1.vio.posn.17.port=1
505
unit.0.1.vio.posn.17.type=signal
506
unit.0.1.vio.posn.18.channel=18
507
unit.0.1.vio.posn.18.name=AsyncOut[18]
508
unit.0.1.vio.posn.18.port=1
509
unit.0.1.vio.posn.18.type=signal
510
unit.0.1.vio.posn.2.channel=2147483646
511
unit.0.1.vio.posn.2.name=data_in
512
unit.0.1.vio.posn.2.port=1
513
unit.0.1.vio.posn.2.radix=5
514
unit.0.1.vio.posn.2.type=bus
515
unit.0.1.vio.posn.3.channel=17
516
unit.0.1.vio.posn.3.name=rs_in
517
unit.0.1.vio.posn.3.port=1
518
unit.0.1.vio.posn.3.type=signal
519
unit.0.1.vio.posn.4.channel=18
520
unit.0.1.vio.posn.4.name=rst
521
unit.0.1.vio.posn.4.port=1
522
unit.0.1.vio.posn.4.type=signal
523
unit.0.1.vio.posn.5.channel=18
524
unit.0.1.vio.posn.5.name=AsyncOut[18]
525
unit.0.1.vio.posn.5.port=1
526
unit.0.1.vio.posn.5.type=signal
527
unit.0.1.vio.posn.6.channel=18
528
unit.0.1.vio.posn.6.name=AsyncOut[18]
529
unit.0.1.vio.posn.6.port=1
530
unit.0.1.vio.posn.6.type=signal
531
unit.0.1.vio.posn.7.channel=18
532
unit.0.1.vio.posn.7.name=AsyncOut[18]
533
unit.0.1.vio.posn.7.port=1
534
unit.0.1.vio.posn.7.type=signal
535
unit.0.1.vio.posn.8.channel=18
536
unit.0.1.vio.posn.8.name=AsyncOut[18]
537
unit.0.1.vio.posn.8.port=1
538
unit.0.1.vio.posn.8.type=signal
539
unit.0.1.vio.posn.9.channel=18
540
unit.0.1.vio.posn.9.name=AsyncOut[18]
541
unit.0.1.vio.posn.9.port=1
542
unit.0.1.vio.posn.9.type=signal
543
unit.0.1.vio.readperiod=0

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.