OpenCores
URL https://opencores.org/ocsvn/lcd_block/lcd_block/trunk

Subversion Repositories lcd_block

[/] [lcd_block/] [trunk/] [hdl/] [iseProject/] [top_hw_testbench.cpj] - Blame information for rev 12

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 11 leonardoar
#ChipScope Pro Analyzer Project File, Version 3.0
2 12 leonardoar
#Wed May 23 02:46:29 CEST 2012
3 11 leonardoar
device.0.configFileDir=E\:\\lcd_block\\hdl\\iseProject
4
device.0.configFilename=top_hw_testbench.bit
5
device.0.inserterCDCFileDir=E\:\\lcd_block\\hdl\\iseProject
6
device.0.inserterCDCFilename=
7
deviceChain.deviceName0=XC3S500E
8
deviceChain.deviceName1=XCF04S
9
deviceChain.deviceName2=XC2C64A
10
deviceChain.iRLength0=6
11
deviceChain.iRLength1=8
12
deviceChain.iRLength2=8
13
deviceChain.name0=MyDevice0
14
deviceChain.name1=MyDevice1
15
deviceChain.name2=MyDevice2
16
deviceIds=41c22093f504609306e5e093
17
mdiAreaHeight=0.7407407407407407
18
mdiAreaHeightLast=0.6990740740740741
19
mdiCount=3
20
mdiDevice0=0
21
mdiDevice1=0
22
mdiDevice2=0
23 12 leonardoar
mdiType0=6
24
mdiType1=1
25
mdiType2=0
26
mdiUnit0=1
27 11 leonardoar
mdiUnit1=0
28 12 leonardoar
mdiUnit2=0
29 11 leonardoar
navigatorHeight=0.17708333333333334
30
navigatorHeightLast=0.17939814814814814
31
navigatorWidth=0.1794871794871795
32
navigatorWidthLast=0.1794871794871795
33
signalDisplayPath=0
34 12 leonardoar
unit.-1.-1.username=
35 11 leonardoar
unit.0.0.0.HEIGHT0=0.36263737
36
unit.0.0.0.TriggerRow0=1
37
unit.0.0.0.TriggerRow1=1
38
unit.0.0.0.TriggerRow2=1
39
unit.0.0.0.WIDTH0=0.6702786
40
unit.0.0.0.X0=0.0
41
unit.0.0.0.Y0=0.0
42
unit.0.0.1.HEIGHT1=0.62166405
43
unit.0.0.1.WIDTH1=1.0
44
unit.0.0.1.X1=0.0015479876
45
unit.0.0.1.Y1=0.3610675
46
unit.0.0.MFBitsA0=R
47
unit.0.0.MFBitsB0=0
48
unit.0.0.MFCompareA0=0
49
unit.0.0.MFCompareB0=999
50
unit.0.0.MFCount=1
51
unit.0.0.MFDisplay0=0
52
unit.0.0.MFEventType0=3
53
unit.0.0.RunMode=REPETITIVE RUN
54
unit.0.0.SQCondition=All Data
55
unit.0.0.SQContiguous0=0
56
unit.0.0.SequencerOn=0
57
unit.0.0.TCActive=0
58
unit.0.0.TCAdvanced0=0
59
unit.0.0.TCCondition0_0=M0
60
unit.0.0.TCCondition0_1=
61
unit.0.0.TCConditionType0=0
62
unit.0.0.TCCount=1
63
unit.0.0.TCEventCount0=1
64
unit.0.0.TCEventType0=3
65
unit.0.0.TCName0=TriggerCondition0
66
unit.0.0.TCOutputEnable0=0
67
unit.0.0.TCOutputHigh0=1
68
unit.0.0.TCOutputMode0=0
69
unit.0.0.browser_tree_state=1
70
unit.0.0.coretype=ILA
71
unit.0.0.eventCount0=1
72
unit.0.0.port.-1.b.0.alias=lcd_nibble
73
unit.0.0.port.-1.b.0.channellist=5 6 7 8
74
unit.0.0.port.-1.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
75
unit.0.0.port.-1.b.0.name=DataPort
76
unit.0.0.port.-1.b.0.orderindex=-1
77
unit.0.0.port.-1.b.0.radix=Hex
78
unit.0.0.port.-1.b.0.signedOffset=0.0
79
unit.0.0.port.-1.b.0.signedPrecision=0
80
unit.0.0.port.-1.b.0.signedScaleFactor=1.0
81
unit.0.0.port.-1.b.0.tokencount=0
82
unit.0.0.port.-1.b.0.unsignedOffset=0.0
83
unit.0.0.port.-1.b.0.unsignedPrecision=0
84
unit.0.0.port.-1.b.0.unsignedScaleFactor=1.0
85
unit.0.0.port.-1.b.0.visible=1
86
unit.0.0.port.-1.buscount=1
87
unit.0.0.port.-1.channelcount=17
88
unit.0.0.port.-1.s.0.alias=strobe_in
89
unit.0.0.port.-1.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
90
unit.0.0.port.-1.s.0.name=DataPort[0]
91
unit.0.0.port.-1.s.0.orderindex=-1
92
unit.0.0.port.-1.s.0.visible=1
93
unit.0.0.port.-1.s.1.alias=done
94
unit.0.0.port.-1.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
95
unit.0.0.port.-1.s.1.name=DataPort[1]
96
unit.0.0.port.-1.s.1.orderindex=-1
97
unit.0.0.port.-1.s.1.visible=1
98
unit.0.0.port.-1.s.10.alias=
99
unit.0.0.port.-1.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
100
unit.0.0.port.-1.s.10.name=DataPort[10]
101
unit.0.0.port.-1.s.10.orderindex=-1
102
unit.0.0.port.-1.s.10.visible=1
103
unit.0.0.port.-1.s.11.alias=
104
unit.0.0.port.-1.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
105
unit.0.0.port.-1.s.11.name=DataPort[11]
106
unit.0.0.port.-1.s.11.orderindex=-1
107
unit.0.0.port.-1.s.11.visible=1
108
unit.0.0.port.-1.s.12.alias=
109
unit.0.0.port.-1.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
110
unit.0.0.port.-1.s.12.name=DataPort[12]
111
unit.0.0.port.-1.s.12.orderindex=-1
112
unit.0.0.port.-1.s.12.visible=1
113
unit.0.0.port.-1.s.13.alias=
114
unit.0.0.port.-1.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
115
unit.0.0.port.-1.s.13.name=DataPort[13]
116
unit.0.0.port.-1.s.13.orderindex=-1
117
unit.0.0.port.-1.s.13.visible=1
118
unit.0.0.port.-1.s.14.alias=
119
unit.0.0.port.-1.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
120
unit.0.0.port.-1.s.14.name=DataPort[14]
121
unit.0.0.port.-1.s.14.orderindex=-1
122
unit.0.0.port.-1.s.14.visible=1
123
unit.0.0.port.-1.s.15.alias=
124
unit.0.0.port.-1.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
125
unit.0.0.port.-1.s.15.name=DataPort[15]
126
unit.0.0.port.-1.s.15.orderindex=-1
127
unit.0.0.port.-1.s.15.visible=1
128
unit.0.0.port.-1.s.16.alias=
129
unit.0.0.port.-1.s.16.color=java.awt.Color[r\=0,g\=0,b\=124]
130
unit.0.0.port.-1.s.16.name=DataPort[16]
131
unit.0.0.port.-1.s.16.orderindex=-1
132
unit.0.0.port.-1.s.16.visible=1
133
unit.0.0.port.-1.s.2.alias=disable_flash
134
unit.0.0.port.-1.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
135
unit.0.0.port.-1.s.2.name=DataPort[2]
136
unit.0.0.port.-1.s.2.orderindex=-1
137
unit.0.0.port.-1.s.2.visible=1
138
unit.0.0.port.-1.s.3.alias=lcd_rw
139
unit.0.0.port.-1.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
140
unit.0.0.port.-1.s.3.name=DataPort[3]
141
unit.0.0.port.-1.s.3.orderindex=-1
142
unit.0.0.port.-1.s.3.visible=1
143
unit.0.0.port.-1.s.4.alias=lcd_rs
144
unit.0.0.port.-1.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
145
unit.0.0.port.-1.s.4.name=DataPort[4]
146
unit.0.0.port.-1.s.4.orderindex=-1
147
unit.0.0.port.-1.s.4.visible=1
148
unit.0.0.port.-1.s.5.alias=
149
unit.0.0.port.-1.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
150
unit.0.0.port.-1.s.5.name=DataPort[5]
151
unit.0.0.port.-1.s.5.orderindex=-1
152
unit.0.0.port.-1.s.5.visible=0
153
unit.0.0.port.-1.s.6.alias=
154
unit.0.0.port.-1.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
155
unit.0.0.port.-1.s.6.name=DataPort[6]
156
unit.0.0.port.-1.s.6.orderindex=-1
157
unit.0.0.port.-1.s.6.visible=0
158
unit.0.0.port.-1.s.7.alias=
159
unit.0.0.port.-1.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
160
unit.0.0.port.-1.s.7.name=DataPort[7]
161
unit.0.0.port.-1.s.7.orderindex=-1
162
unit.0.0.port.-1.s.7.visible=0
163
unit.0.0.port.-1.s.8.alias=
164
unit.0.0.port.-1.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
165
unit.0.0.port.-1.s.8.name=DataPort[8]
166
unit.0.0.port.-1.s.8.orderindex=-1
167
unit.0.0.port.-1.s.8.visible=0
168
unit.0.0.port.-1.s.9.alias=lcd_e
169
unit.0.0.port.-1.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
170
unit.0.0.port.-1.s.9.name=DataPort[9]
171
unit.0.0.port.-1.s.9.orderindex=-1
172
unit.0.0.port.-1.s.9.visible=1
173
unit.0.0.port.0.b.0.alias=
174
unit.0.0.port.0.b.0.channellist=0
175
unit.0.0.port.0.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
176
unit.0.0.port.0.b.0.name=TriggerPort0
177
unit.0.0.port.0.b.0.orderindex=-1
178
unit.0.0.port.0.b.0.radix=Hex
179
unit.0.0.port.0.b.0.signedOffset=0.0
180
unit.0.0.port.0.b.0.signedPrecision=0
181
unit.0.0.port.0.b.0.signedScaleFactor=1.0
182
unit.0.0.port.0.b.0.unsignedOffset=0.0
183
unit.0.0.port.0.b.0.unsignedPrecision=0
184
unit.0.0.port.0.b.0.unsignedScaleFactor=1.0
185
unit.0.0.port.0.b.0.visible=1
186
unit.0.0.port.0.buscount=1
187
unit.0.0.port.0.channelcount=1
188
unit.0.0.port.0.s.0.alias=
189
unit.0.0.port.0.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
190
unit.0.0.port.0.s.0.name=TriggerPort0[0]
191
unit.0.0.port.0.s.0.orderindex=-1
192
unit.0.0.port.0.s.0.visible=1
193
unit.0.0.portcount=1
194
unit.0.0.rep_trigger.clobber=1
195
unit.0.0.rep_trigger.dir=E\:\\lcd_block\\hdl\\iseProject
196
unit.0.0.rep_trigger.filename=waveform
197
unit.0.0.rep_trigger.format=ASCII
198
unit.0.0.rep_trigger.loggingEnabled=0
199
unit.0.0.rep_trigger.signals=All Signals/Buses
200
unit.0.0.samplesPerTrigger=1
201
unit.0.0.triggerCapture=1
202
unit.0.0.triggerNSamplesTS=0
203
unit.0.0.triggerPosition=0
204
unit.0.0.triggerWindowCount=1
205
unit.0.0.triggerWindowDepth=16384
206
unit.0.0.triggerWindowTS=0
207
unit.0.0.username=MyILA0
208
unit.0.0.waveform.count=14
209
unit.0.0.waveform.posn.0.channel=0
210
unit.0.0.waveform.posn.0.name=strobe_in
211
unit.0.0.waveform.posn.0.type=signal
212
unit.0.0.waveform.posn.1.channel=1
213
unit.0.0.waveform.posn.1.name=done
214
unit.0.0.waveform.posn.1.type=signal
215
unit.0.0.waveform.posn.10.channel=13
216
unit.0.0.waveform.posn.10.name=DataPort[13]
217
unit.0.0.waveform.posn.10.type=signal
218
unit.0.0.waveform.posn.11.channel=14
219
unit.0.0.waveform.posn.11.name=DataPort[14]
220
unit.0.0.waveform.posn.11.type=signal
221
unit.0.0.waveform.posn.12.channel=15
222
unit.0.0.waveform.posn.12.name=DataPort[15]
223
unit.0.0.waveform.posn.12.type=signal
224
unit.0.0.waveform.posn.13.channel=16
225
unit.0.0.waveform.posn.13.name=DataPort[16]
226
unit.0.0.waveform.posn.13.type=signal
227
unit.0.0.waveform.posn.14.channel=16
228
unit.0.0.waveform.posn.14.name=DataPort[16]
229
unit.0.0.waveform.posn.14.type=signal
230
unit.0.0.waveform.posn.15.channel=16
231
unit.0.0.waveform.posn.15.name=DataPort[16]
232
unit.0.0.waveform.posn.15.type=signal
233
unit.0.0.waveform.posn.16.channel=16
234
unit.0.0.waveform.posn.16.name=DataPort[16]
235
unit.0.0.waveform.posn.16.type=signal
236
unit.0.0.waveform.posn.2.channel=2
237
unit.0.0.waveform.posn.2.name=disable_flash
238
unit.0.0.waveform.posn.2.type=signal
239
unit.0.0.waveform.posn.3.channel=3
240
unit.0.0.waveform.posn.3.name=lcd_rw
241
unit.0.0.waveform.posn.3.type=signal
242
unit.0.0.waveform.posn.4.channel=4
243
unit.0.0.waveform.posn.4.name=lcd_rs
244
unit.0.0.waveform.posn.4.type=signal
245
unit.0.0.waveform.posn.5.channel=2147483646
246
unit.0.0.waveform.posn.5.name=lcd_nibble
247
unit.0.0.waveform.posn.5.radix=1
248
unit.0.0.waveform.posn.5.type=bus
249
unit.0.0.waveform.posn.6.channel=9
250
unit.0.0.waveform.posn.6.name=lcd_e
251
unit.0.0.waveform.posn.6.type=signal
252
unit.0.0.waveform.posn.7.channel=10
253
unit.0.0.waveform.posn.7.name=DataPort[10]
254
unit.0.0.waveform.posn.7.type=signal
255
unit.0.0.waveform.posn.8.channel=11
256
unit.0.0.waveform.posn.8.name=DataPort[11]
257
unit.0.0.waveform.posn.8.type=signal
258
unit.0.0.waveform.posn.9.channel=12
259
unit.0.0.waveform.posn.9.name=DataPort[12]
260
unit.0.0.waveform.posn.9.type=signal
261
unit.0.0.waveform.rulerdisplay=1
262
unit.0.0.waveform.shownegative=0
263
unit.0.0.waveform.showtriggermarkers=1
264
unit.0.0.waveform.showwindowmarkers=1
265
unit.0.1.6.HEIGHT6=0.33751962
266
unit.0.1.6.WIDTH6=0.33049536
267
unit.0.1.6.X6=0.67105263
268
unit.0.1.6.Y6=0.007849294
269
unit.0.1.browser_tree_state=1
270
unit.0.1.coretype=VIO
271
unit.0.1.port.-1.buscount=0
272
unit.0.1.port.-1.channelcount=0
273
unit.0.1.port.0.buscount=0
274
unit.0.1.port.0.channelcount=0
275
unit.0.1.port.1.b.0.alias=data_in
276
unit.0.1.port.1.b.0.channellist=9 10 11 12 13 14 15 16
277
unit.0.1.port.1.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
278
unit.0.1.port.1.b.0.display=0
279
unit.0.1.port.1.b.0.name=AsyncOut_1
280
unit.0.1.port.1.b.0.orderindex=-1
281 12 leonardoar
unit.0.1.port.1.b.0.radix=Hex
282 11 leonardoar
unit.0.1.port.1.b.0.signedOffset=0.0
283
unit.0.1.port.1.b.0.signedPrecision=0
284
unit.0.1.port.1.b.0.signedScaleFactor=1.0
285
unit.0.1.port.1.b.0.tokencount=0
286
unit.0.1.port.1.b.0.unsignedOffset=0.0
287
unit.0.1.port.1.b.0.unsignedPrecision=0
288
unit.0.1.port.1.b.0.unsignedScaleFactor=1.0
289 12 leonardoar
unit.0.1.port.1.b.0.value=41
290 11 leonardoar
unit.0.1.port.1.b.0.visible=1
291
unit.0.1.port.1.b.1.alias=period_clk_ns
292
unit.0.1.port.1.b.1.channellist=0 1 2 3 4 5 6 7
293
unit.0.1.port.1.b.1.color=java.awt.Color[r\=0,g\=0,b\=124]
294
unit.0.1.port.1.b.1.display=0
295
unit.0.1.port.1.b.1.name=AsyncOut
296
unit.0.1.port.1.b.1.orderindex=-1
297
unit.0.1.port.1.b.1.radix=Unsigned
298
unit.0.1.port.1.b.1.signedOffset=0.0
299
unit.0.1.port.1.b.1.signedPrecision=0
300
unit.0.1.port.1.b.1.signedScaleFactor=1.0
301
unit.0.1.port.1.b.1.tokencount=0
302
unit.0.1.port.1.b.1.unsignedOffset=0.0
303
unit.0.1.port.1.b.1.unsignedPrecision=0
304
unit.0.1.port.1.b.1.unsignedScaleFactor=1.0
305
unit.0.1.port.1.b.1.value=20
306
unit.0.1.port.1.b.1.visible=1
307
unit.0.1.port.1.buscount=2
308
unit.0.1.port.1.channelcount=19
309
unit.0.1.port.1.s.0.alias=
310
unit.0.1.port.1.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
311
unit.0.1.port.1.s.0.display=0
312
unit.0.1.port.1.s.0.name=AsyncOut[0]
313
unit.0.1.port.1.s.0.orderindex=-1
314
unit.0.1.port.1.s.0.persistence=0
315
unit.0.1.port.1.s.0.value=0
316
unit.0.1.port.1.s.0.visible=0
317
unit.0.1.port.1.s.1.alias=
318
unit.0.1.port.1.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
319
unit.0.1.port.1.s.1.display=0
320
unit.0.1.port.1.s.1.name=AsyncOut[1]
321
unit.0.1.port.1.s.1.orderindex=-1
322
unit.0.1.port.1.s.1.persistence=0
323
unit.0.1.port.1.s.1.value=0
324
unit.0.1.port.1.s.1.visible=0
325
unit.0.1.port.1.s.10.alias=
326
unit.0.1.port.1.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
327
unit.0.1.port.1.s.10.display=0
328
unit.0.1.port.1.s.10.name=AsyncOut[10]
329
unit.0.1.port.1.s.10.orderindex=-1
330
unit.0.1.port.1.s.10.persistence=0
331
unit.0.1.port.1.s.10.value=0
332
unit.0.1.port.1.s.10.visible=0
333
unit.0.1.port.1.s.11.alias=
334
unit.0.1.port.1.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
335
unit.0.1.port.1.s.11.display=0
336
unit.0.1.port.1.s.11.name=AsyncOut[11]
337
unit.0.1.port.1.s.11.orderindex=-1
338
unit.0.1.port.1.s.11.persistence=0
339
unit.0.1.port.1.s.11.value=0
340
unit.0.1.port.1.s.11.visible=0
341
unit.0.1.port.1.s.12.alias=
342
unit.0.1.port.1.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
343
unit.0.1.port.1.s.12.display=0
344
unit.0.1.port.1.s.12.name=AsyncOut[12]
345
unit.0.1.port.1.s.12.orderindex=-1
346
unit.0.1.port.1.s.12.persistence=0
347
unit.0.1.port.1.s.12.value=0
348
unit.0.1.port.1.s.12.visible=0
349
unit.0.1.port.1.s.13.alias=
350
unit.0.1.port.1.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
351
unit.0.1.port.1.s.13.display=0
352
unit.0.1.port.1.s.13.name=AsyncOut[13]
353
unit.0.1.port.1.s.13.orderindex=-1
354
unit.0.1.port.1.s.13.persistence=0
355
unit.0.1.port.1.s.13.value=0
356
unit.0.1.port.1.s.13.visible=0
357
unit.0.1.port.1.s.14.alias=
358
unit.0.1.port.1.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
359
unit.0.1.port.1.s.14.display=0
360
unit.0.1.port.1.s.14.name=AsyncOut[14]
361
unit.0.1.port.1.s.14.orderindex=-1
362
unit.0.1.port.1.s.14.persistence=0
363
unit.0.1.port.1.s.14.value=0
364
unit.0.1.port.1.s.14.visible=0
365
unit.0.1.port.1.s.15.alias=
366
unit.0.1.port.1.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
367
unit.0.1.port.1.s.15.display=0
368
unit.0.1.port.1.s.15.name=AsyncOut[15]
369
unit.0.1.port.1.s.15.orderindex=-1
370
unit.0.1.port.1.s.15.persistence=0
371
unit.0.1.port.1.s.15.value=1
372
unit.0.1.port.1.s.15.visible=0
373
unit.0.1.port.1.s.16.alias=
374
unit.0.1.port.1.s.16.color=java.awt.Color[r\=0,g\=0,b\=124]
375
unit.0.1.port.1.s.16.display=0
376
unit.0.1.port.1.s.16.name=AsyncOut[16]
377
unit.0.1.port.1.s.16.orderindex=-1
378
unit.0.1.port.1.s.16.persistence=0
379
unit.0.1.port.1.s.16.value=0
380
unit.0.1.port.1.s.16.visible=0
381
unit.0.1.port.1.s.17.alias=rs_in
382
unit.0.1.port.1.s.17.color=java.awt.Color[r\=0,g\=0,b\=124]
383
unit.0.1.port.1.s.17.display=3
384
unit.0.1.port.1.s.17.name=AsyncOut[17]
385
unit.0.1.port.1.s.17.orderindex=-1
386
unit.0.1.port.1.s.17.persistence=0
387 12 leonardoar
unit.0.1.port.1.s.17.value=1
388 11 leonardoar
unit.0.1.port.1.s.17.visible=1
389
unit.0.1.port.1.s.18.alias=rst
390
unit.0.1.port.1.s.18.color=java.awt.Color[r\=0,g\=0,b\=124]
391
unit.0.1.port.1.s.18.display=1
392
unit.0.1.port.1.s.18.name=AsyncOut[18]
393
unit.0.1.port.1.s.18.orderindex=-1
394
unit.0.1.port.1.s.18.persistence=0
395
unit.0.1.port.1.s.18.value=0
396
unit.0.1.port.1.s.18.visible=1
397
unit.0.1.port.1.s.2.alias=
398
unit.0.1.port.1.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
399
unit.0.1.port.1.s.2.display=0
400
unit.0.1.port.1.s.2.name=AsyncOut[2]
401
unit.0.1.port.1.s.2.orderindex=-1
402
unit.0.1.port.1.s.2.persistence=0
403
unit.0.1.port.1.s.2.value=1
404
unit.0.1.port.1.s.2.visible=0
405
unit.0.1.port.1.s.3.alias=
406
unit.0.1.port.1.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
407
unit.0.1.port.1.s.3.display=0
408
unit.0.1.port.1.s.3.name=AsyncOut[3]
409
unit.0.1.port.1.s.3.orderindex=-1
410
unit.0.1.port.1.s.3.persistence=0
411
unit.0.1.port.1.s.3.value=0
412
unit.0.1.port.1.s.3.visible=0
413
unit.0.1.port.1.s.4.alias=
414
unit.0.1.port.1.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
415
unit.0.1.port.1.s.4.display=0
416
unit.0.1.port.1.s.4.name=AsyncOut[4]
417
unit.0.1.port.1.s.4.orderindex=-1
418
unit.0.1.port.1.s.4.persistence=0
419
unit.0.1.port.1.s.4.value=1
420
unit.0.1.port.1.s.4.visible=0
421
unit.0.1.port.1.s.5.alias=
422
unit.0.1.port.1.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
423
unit.0.1.port.1.s.5.display=0
424
unit.0.1.port.1.s.5.name=AsyncOut[5]
425
unit.0.1.port.1.s.5.orderindex=-1
426
unit.0.1.port.1.s.5.persistence=0
427
unit.0.1.port.1.s.5.value=0
428
unit.0.1.port.1.s.5.visible=0
429
unit.0.1.port.1.s.6.alias=
430
unit.0.1.port.1.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
431
unit.0.1.port.1.s.6.display=0
432
unit.0.1.port.1.s.6.name=AsyncOut[6]
433
unit.0.1.port.1.s.6.orderindex=-1
434
unit.0.1.port.1.s.6.persistence=0
435
unit.0.1.port.1.s.6.value=0
436
unit.0.1.port.1.s.6.visible=0
437
unit.0.1.port.1.s.7.alias=
438
unit.0.1.port.1.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
439
unit.0.1.port.1.s.7.display=0
440
unit.0.1.port.1.s.7.name=AsyncOut[7]
441
unit.0.1.port.1.s.7.orderindex=-1
442
unit.0.1.port.1.s.7.persistence=0
443
unit.0.1.port.1.s.7.value=0
444
unit.0.1.port.1.s.7.visible=0
445
unit.0.1.port.1.s.8.alias=strobe_in
446
unit.0.1.port.1.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
447
unit.0.1.port.1.s.8.display=1
448
unit.0.1.port.1.s.8.name=AsyncOut[8]
449
unit.0.1.port.1.s.8.orderindex=-1
450
unit.0.1.port.1.s.8.persistence=0
451
unit.0.1.port.1.s.8.value=0
452
unit.0.1.port.1.s.8.visible=1
453
unit.0.1.port.1.s.9.alias=
454
unit.0.1.port.1.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
455
unit.0.1.port.1.s.9.display=0
456
unit.0.1.port.1.s.9.name=AsyncOut[9]
457
unit.0.1.port.1.s.9.orderindex=-1
458
unit.0.1.port.1.s.9.persistence=0
459
unit.0.1.port.1.s.9.value=1
460
unit.0.1.port.1.s.9.visible=0
461
unit.0.1.port.2.buscount=0
462
unit.0.1.port.2.channelcount=0
463
unit.0.1.portcount=3
464
unit.0.1.username=MyVIO1
465
unit.0.1.vio.count=5
466
unit.0.1.vio.posn.0.channel=2147483646
467
unit.0.1.vio.posn.0.name=period_clk_ns
468
unit.0.1.vio.posn.0.port=1
469
unit.0.1.vio.posn.0.radix=4
470
unit.0.1.vio.posn.0.type=bus
471
unit.0.1.vio.posn.1.channel=8
472
unit.0.1.vio.posn.1.name=strobe_in
473
unit.0.1.vio.posn.1.port=1
474
unit.0.1.vio.posn.1.type=signal
475
unit.0.1.vio.posn.10.channel=18
476
unit.0.1.vio.posn.10.name=AsyncOut[18]
477
unit.0.1.vio.posn.10.port=1
478
unit.0.1.vio.posn.10.type=signal
479
unit.0.1.vio.posn.11.channel=18
480
unit.0.1.vio.posn.11.name=AsyncOut[18]
481
unit.0.1.vio.posn.11.port=1
482
unit.0.1.vio.posn.11.type=signal
483
unit.0.1.vio.posn.12.channel=18
484
unit.0.1.vio.posn.12.name=AsyncOut[18]
485
unit.0.1.vio.posn.12.port=1
486
unit.0.1.vio.posn.12.type=signal
487
unit.0.1.vio.posn.13.channel=18
488
unit.0.1.vio.posn.13.name=AsyncOut[18]
489
unit.0.1.vio.posn.13.port=1
490
unit.0.1.vio.posn.13.type=signal
491
unit.0.1.vio.posn.14.channel=18
492
unit.0.1.vio.posn.14.name=AsyncOut[18]
493
unit.0.1.vio.posn.14.port=1
494
unit.0.1.vio.posn.14.type=signal
495
unit.0.1.vio.posn.15.channel=18
496
unit.0.1.vio.posn.15.name=AsyncOut[18]
497
unit.0.1.vio.posn.15.port=1
498
unit.0.1.vio.posn.15.type=signal
499
unit.0.1.vio.posn.16.channel=18
500
unit.0.1.vio.posn.16.name=AsyncOut[18]
501
unit.0.1.vio.posn.16.port=1
502
unit.0.1.vio.posn.16.type=signal
503
unit.0.1.vio.posn.17.channel=18
504
unit.0.1.vio.posn.17.name=AsyncOut[18]
505
unit.0.1.vio.posn.17.port=1
506
unit.0.1.vio.posn.17.type=signal
507
unit.0.1.vio.posn.18.channel=18
508
unit.0.1.vio.posn.18.name=AsyncOut[18]
509
unit.0.1.vio.posn.18.port=1
510
unit.0.1.vio.posn.18.type=signal
511
unit.0.1.vio.posn.2.channel=2147483646
512
unit.0.1.vio.posn.2.name=data_in
513
unit.0.1.vio.posn.2.port=1
514 12 leonardoar
unit.0.1.vio.posn.2.radix=1
515 11 leonardoar
unit.0.1.vio.posn.2.type=bus
516
unit.0.1.vio.posn.3.channel=17
517
unit.0.1.vio.posn.3.name=rs_in
518
unit.0.1.vio.posn.3.port=1
519
unit.0.1.vio.posn.3.type=signal
520
unit.0.1.vio.posn.4.channel=18
521
unit.0.1.vio.posn.4.name=rst
522
unit.0.1.vio.posn.4.port=1
523
unit.0.1.vio.posn.4.type=signal
524
unit.0.1.vio.posn.5.channel=18
525
unit.0.1.vio.posn.5.name=AsyncOut[18]
526
unit.0.1.vio.posn.5.port=1
527
unit.0.1.vio.posn.5.type=signal
528
unit.0.1.vio.posn.6.channel=18
529
unit.0.1.vio.posn.6.name=AsyncOut[18]
530
unit.0.1.vio.posn.6.port=1
531
unit.0.1.vio.posn.6.type=signal
532
unit.0.1.vio.posn.7.channel=18
533
unit.0.1.vio.posn.7.name=AsyncOut[18]
534
unit.0.1.vio.posn.7.port=1
535
unit.0.1.vio.posn.7.type=signal
536
unit.0.1.vio.posn.8.channel=18
537
unit.0.1.vio.posn.8.name=AsyncOut[18]
538
unit.0.1.vio.posn.8.port=1
539
unit.0.1.vio.posn.8.type=signal
540
unit.0.1.vio.posn.9.channel=18
541
unit.0.1.vio.posn.9.name=AsyncOut[18]
542
unit.0.1.vio.posn.9.port=1
543
unit.0.1.vio.posn.9.type=signal
544
unit.0.1.vio.readperiod=0

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.