OpenCores
URL https://opencores.org/ocsvn/lcd_block/lcd_block/trunk

Subversion Repositories lcd_block

[/] [lcd_block/] [trunk/] [hdl/] [iseProject/] [top_hw_testbench.cpj] - Blame information for rev 14

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 11 leonardoar
#ChipScope Pro Analyzer Project File, Version 3.0
2 14 leonardoar
#Thu May 24 19:05:36 CEST 2012
3 11 leonardoar
deviceChain.deviceName0=XC3S500E
4
deviceChain.deviceName1=XCF04S
5
deviceChain.deviceName2=XC2C64A
6
deviceChain.iRLength0=6
7
deviceChain.iRLength1=8
8
deviceChain.iRLength2=8
9
deviceChain.name0=MyDevice0
10
deviceChain.name1=MyDevice1
11
deviceChain.name2=MyDevice2
12
deviceIds=41c22093f504609306e5e093
13 14 leonardoar
mdiAreaHeight=0.7141203703703703
14
mdiAreaHeightLast=0.6446759259259259
15 11 leonardoar
mdiCount=3
16
mdiDevice0=0
17
mdiDevice1=0
18
mdiDevice2=0
19 14 leonardoar
mdiType0=1
20
mdiType1=0
21
mdiType2=6
22
mdiUnit0=0
23 11 leonardoar
mdiUnit1=0
24 14 leonardoar
mdiUnit2=1
25
navigatorHeight=0.22569444444444445
26
navigatorHeightLast=0.16550925925925927
27
navigatorWidth=0.13992537313432835
28
navigatorWidthLast=0.13992537313432835
29 11 leonardoar
signalDisplayPath=0
30 14 leonardoar
unit.0.0.0.HEIGHT0=0.3762215
31 11 leonardoar
unit.0.0.0.TriggerRow0=1
32
unit.0.0.0.TriggerRow1=1
33
unit.0.0.0.TriggerRow2=1
34 14 leonardoar
unit.0.0.0.WIDTH0=1.0
35 11 leonardoar
unit.0.0.0.X0=0.0
36
unit.0.0.0.Y0=0.0
37 14 leonardoar
unit.0.0.1.HEIGHT1=0.6188925
38 11 leonardoar
unit.0.0.1.WIDTH1=1.0
39 14 leonardoar
unit.0.0.1.X1=0.0
40
unit.0.0.1.Y1=0.38110748
41 11 leonardoar
unit.0.0.MFBitsA0=R
42
unit.0.0.MFBitsB0=0
43
unit.0.0.MFCompareA0=0
44
unit.0.0.MFCompareB0=999
45
unit.0.0.MFCount=1
46
unit.0.0.MFDisplay0=0
47
unit.0.0.MFEventType0=3
48
unit.0.0.RunMode=REPETITIVE RUN
49
unit.0.0.SQCondition=All Data
50
unit.0.0.SQContiguous0=0
51
unit.0.0.SequencerOn=0
52
unit.0.0.TCActive=0
53
unit.0.0.TCAdvanced0=0
54
unit.0.0.TCCondition0_0=M0
55
unit.0.0.TCCondition0_1=
56
unit.0.0.TCConditionType0=0
57
unit.0.0.TCCount=1
58
unit.0.0.TCEventCount0=1
59
unit.0.0.TCEventType0=3
60
unit.0.0.TCName0=TriggerCondition0
61
unit.0.0.TCOutputEnable0=0
62
unit.0.0.TCOutputHigh0=1
63
unit.0.0.TCOutputMode0=0
64
unit.0.0.browser_tree_state=1
65
unit.0.0.coretype=ILA
66
unit.0.0.eventCount0=1
67
unit.0.0.port.-1.b.0.alias=lcd_nibble
68
unit.0.0.port.-1.b.0.channellist=5 6 7 8
69
unit.0.0.port.-1.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
70 14 leonardoar
unit.0.0.port.-1.b.0.name=DataPort_1
71 11 leonardoar
unit.0.0.port.-1.b.0.orderindex=-1
72
unit.0.0.port.-1.b.0.radix=Hex
73
unit.0.0.port.-1.b.0.signedOffset=0.0
74
unit.0.0.port.-1.b.0.signedPrecision=0
75
unit.0.0.port.-1.b.0.signedScaleFactor=1.0
76
unit.0.0.port.-1.b.0.tokencount=0
77
unit.0.0.port.-1.b.0.unsignedOffset=0.0
78
unit.0.0.port.-1.b.0.unsignedPrecision=0
79
unit.0.0.port.-1.b.0.unsignedScaleFactor=1.0
80
unit.0.0.port.-1.b.0.visible=1
81
unit.0.0.port.-1.buscount=1
82
unit.0.0.port.-1.channelcount=17
83
unit.0.0.port.-1.s.0.alias=strobe_in
84
unit.0.0.port.-1.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
85
unit.0.0.port.-1.s.0.name=DataPort[0]
86
unit.0.0.port.-1.s.0.orderindex=-1
87
unit.0.0.port.-1.s.0.visible=1
88
unit.0.0.port.-1.s.1.alias=done
89
unit.0.0.port.-1.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
90
unit.0.0.port.-1.s.1.name=DataPort[1]
91
unit.0.0.port.-1.s.1.orderindex=-1
92
unit.0.0.port.-1.s.1.visible=1
93 14 leonardoar
unit.0.0.port.-1.s.10.alias=DataPort[10]
94 11 leonardoar
unit.0.0.port.-1.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
95
unit.0.0.port.-1.s.10.name=DataPort[10]
96
unit.0.0.port.-1.s.10.orderindex=-1
97
unit.0.0.port.-1.s.10.visible=1
98
unit.0.0.port.-1.s.11.alias=
99
unit.0.0.port.-1.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
100
unit.0.0.port.-1.s.11.name=DataPort[11]
101
unit.0.0.port.-1.s.11.orderindex=-1
102
unit.0.0.port.-1.s.11.visible=1
103
unit.0.0.port.-1.s.12.alias=
104
unit.0.0.port.-1.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
105
unit.0.0.port.-1.s.12.name=DataPort[12]
106
unit.0.0.port.-1.s.12.orderindex=-1
107
unit.0.0.port.-1.s.12.visible=1
108
unit.0.0.port.-1.s.13.alias=
109
unit.0.0.port.-1.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
110
unit.0.0.port.-1.s.13.name=DataPort[13]
111
unit.0.0.port.-1.s.13.orderindex=-1
112
unit.0.0.port.-1.s.13.visible=1
113
unit.0.0.port.-1.s.14.alias=
114
unit.0.0.port.-1.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
115
unit.0.0.port.-1.s.14.name=DataPort[14]
116
unit.0.0.port.-1.s.14.orderindex=-1
117
unit.0.0.port.-1.s.14.visible=1
118
unit.0.0.port.-1.s.15.alias=
119
unit.0.0.port.-1.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
120
unit.0.0.port.-1.s.15.name=DataPort[15]
121
unit.0.0.port.-1.s.15.orderindex=-1
122
unit.0.0.port.-1.s.15.visible=1
123
unit.0.0.port.-1.s.16.alias=
124
unit.0.0.port.-1.s.16.color=java.awt.Color[r\=0,g\=0,b\=124]
125
unit.0.0.port.-1.s.16.name=DataPort[16]
126
unit.0.0.port.-1.s.16.orderindex=-1
127
unit.0.0.port.-1.s.16.visible=1
128
unit.0.0.port.-1.s.2.alias=disable_flash
129
unit.0.0.port.-1.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
130
unit.0.0.port.-1.s.2.name=DataPort[2]
131
unit.0.0.port.-1.s.2.orderindex=-1
132
unit.0.0.port.-1.s.2.visible=1
133
unit.0.0.port.-1.s.3.alias=lcd_rw
134
unit.0.0.port.-1.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
135
unit.0.0.port.-1.s.3.name=DataPort[3]
136
unit.0.0.port.-1.s.3.orderindex=-1
137
unit.0.0.port.-1.s.3.visible=1
138
unit.0.0.port.-1.s.4.alias=lcd_rs
139
unit.0.0.port.-1.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
140
unit.0.0.port.-1.s.4.name=DataPort[4]
141
unit.0.0.port.-1.s.4.orderindex=-1
142
unit.0.0.port.-1.s.4.visible=1
143 14 leonardoar
unit.0.0.port.-1.s.5.alias=lcd_rs11
144 11 leonardoar
unit.0.0.port.-1.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
145
unit.0.0.port.-1.s.5.name=DataPort[5]
146
unit.0.0.port.-1.s.5.orderindex=-1
147
unit.0.0.port.-1.s.5.visible=0
148
unit.0.0.port.-1.s.6.alias=
149
unit.0.0.port.-1.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
150
unit.0.0.port.-1.s.6.name=DataPort[6]
151
unit.0.0.port.-1.s.6.orderindex=-1
152
unit.0.0.port.-1.s.6.visible=0
153
unit.0.0.port.-1.s.7.alias=
154
unit.0.0.port.-1.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
155
unit.0.0.port.-1.s.7.name=DataPort[7]
156
unit.0.0.port.-1.s.7.orderindex=-1
157
unit.0.0.port.-1.s.7.visible=0
158
unit.0.0.port.-1.s.8.alias=
159
unit.0.0.port.-1.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
160
unit.0.0.port.-1.s.8.name=DataPort[8]
161
unit.0.0.port.-1.s.8.orderindex=-1
162
unit.0.0.port.-1.s.8.visible=0
163
unit.0.0.port.-1.s.9.alias=lcd_e
164
unit.0.0.port.-1.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
165
unit.0.0.port.-1.s.9.name=DataPort[9]
166
unit.0.0.port.-1.s.9.orderindex=-1
167
unit.0.0.port.-1.s.9.visible=1
168
unit.0.0.port.0.b.0.alias=
169
unit.0.0.port.0.b.0.channellist=0
170
unit.0.0.port.0.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
171
unit.0.0.port.0.b.0.name=TriggerPort0
172
unit.0.0.port.0.b.0.orderindex=-1
173
unit.0.0.port.0.b.0.radix=Hex
174
unit.0.0.port.0.b.0.signedOffset=0.0
175
unit.0.0.port.0.b.0.signedPrecision=0
176
unit.0.0.port.0.b.0.signedScaleFactor=1.0
177
unit.0.0.port.0.b.0.unsignedOffset=0.0
178
unit.0.0.port.0.b.0.unsignedPrecision=0
179
unit.0.0.port.0.b.0.unsignedScaleFactor=1.0
180
unit.0.0.port.0.b.0.visible=1
181
unit.0.0.port.0.buscount=1
182
unit.0.0.port.0.channelcount=1
183
unit.0.0.port.0.s.0.alias=
184
unit.0.0.port.0.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
185
unit.0.0.port.0.s.0.name=TriggerPort0[0]
186
unit.0.0.port.0.s.0.orderindex=-1
187
unit.0.0.port.0.s.0.visible=1
188
unit.0.0.portcount=1
189
unit.0.0.rep_trigger.clobber=1
190
unit.0.0.rep_trigger.dir=E\:\\lcd_block\\hdl\\iseProject
191
unit.0.0.rep_trigger.filename=waveform
192
unit.0.0.rep_trigger.format=ASCII
193
unit.0.0.rep_trigger.loggingEnabled=0
194
unit.0.0.rep_trigger.signals=All Signals/Buses
195
unit.0.0.samplesPerTrigger=1
196
unit.0.0.triggerCapture=1
197
unit.0.0.triggerNSamplesTS=0
198
unit.0.0.triggerPosition=0
199
unit.0.0.triggerWindowCount=1
200
unit.0.0.triggerWindowDepth=16384
201
unit.0.0.triggerWindowTS=0
202
unit.0.0.username=MyILA0
203 14 leonardoar
unit.0.0.waveform.count=7
204 11 leonardoar
unit.0.0.waveform.posn.0.channel=0
205
unit.0.0.waveform.posn.0.name=strobe_in
206 14 leonardoar
unit.0.0.waveform.posn.0.radix=1
207 11 leonardoar
unit.0.0.waveform.posn.0.type=signal
208
unit.0.0.waveform.posn.1.channel=1
209
unit.0.0.waveform.posn.1.name=done
210 14 leonardoar
unit.0.0.waveform.posn.1.radix=1
211 11 leonardoar
unit.0.0.waveform.posn.1.type=signal
212 14 leonardoar
unit.0.0.waveform.posn.10.channel=16
213
unit.0.0.waveform.posn.10.name=DataPort[16]
214 11 leonardoar
unit.0.0.waveform.posn.10.type=signal
215 14 leonardoar
unit.0.0.waveform.posn.11.channel=16
216
unit.0.0.waveform.posn.11.name=DataPort[16]
217 11 leonardoar
unit.0.0.waveform.posn.11.type=signal
218 14 leonardoar
unit.0.0.waveform.posn.12.channel=16
219
unit.0.0.waveform.posn.12.name=DataPort[16]
220 11 leonardoar
unit.0.0.waveform.posn.12.type=signal
221
unit.0.0.waveform.posn.13.channel=16
222
unit.0.0.waveform.posn.13.name=DataPort[16]
223
unit.0.0.waveform.posn.13.type=signal
224
unit.0.0.waveform.posn.14.channel=16
225
unit.0.0.waveform.posn.14.name=DataPort[16]
226
unit.0.0.waveform.posn.14.type=signal
227
unit.0.0.waveform.posn.15.channel=16
228
unit.0.0.waveform.posn.15.name=DataPort[16]
229
unit.0.0.waveform.posn.15.type=signal
230
unit.0.0.waveform.posn.16.channel=16
231
unit.0.0.waveform.posn.16.name=DataPort[16]
232
unit.0.0.waveform.posn.16.type=signal
233 14 leonardoar
unit.0.0.waveform.posn.17.channel=16
234
unit.0.0.waveform.posn.17.name=DataPort[16]
235
unit.0.0.waveform.posn.17.type=signal
236
unit.0.0.waveform.posn.2.channel=2147483646
237
unit.0.0.waveform.posn.2.name=lcd_nibble
238
unit.0.0.waveform.posn.2.radix=1
239
unit.0.0.waveform.posn.2.type=bus
240
unit.0.0.waveform.posn.3.channel=9
241
unit.0.0.waveform.posn.3.name=lcd_e
242 11 leonardoar
unit.0.0.waveform.posn.3.type=signal
243 14 leonardoar
unit.0.0.waveform.posn.4.channel=3
244
unit.0.0.waveform.posn.4.name=lcd_rw
245 11 leonardoar
unit.0.0.waveform.posn.4.type=signal
246 14 leonardoar
unit.0.0.waveform.posn.5.channel=4
247
unit.0.0.waveform.posn.5.name=lcd_rs
248
unit.0.0.waveform.posn.5.type=signal
249
unit.0.0.waveform.posn.6.channel=2
250
unit.0.0.waveform.posn.6.name=disable_flash
251
unit.0.0.waveform.posn.6.radix=1
252 11 leonardoar
unit.0.0.waveform.posn.6.type=signal
253 14 leonardoar
unit.0.0.waveform.posn.7.channel=2
254
unit.0.0.waveform.posn.7.name=disable_flash
255 11 leonardoar
unit.0.0.waveform.posn.7.type=signal
256 14 leonardoar
unit.0.0.waveform.posn.8.channel=16
257
unit.0.0.waveform.posn.8.name=DataPort[16]
258 11 leonardoar
unit.0.0.waveform.posn.8.type=signal
259 14 leonardoar
unit.0.0.waveform.posn.9.channel=16
260
unit.0.0.waveform.posn.9.name=DataPort[16]
261 11 leonardoar
unit.0.0.waveform.posn.9.type=signal
262 14 leonardoar
unit.0.1.6.HEIGHT6=0.5162867
263
unit.0.1.6.WIDTH6=0.27953044
264
unit.0.1.6.X6=0.69625825
265
unit.0.1.6.Y6=0.3762215
266
unit.0.1.browser_tree_state=1
267 11 leonardoar
unit.0.1.coretype=VIO
268
unit.0.1.port.-1.buscount=0
269
unit.0.1.port.-1.channelcount=0
270
unit.0.1.port.0.buscount=0
271
unit.0.1.port.0.channelcount=0
272 14 leonardoar
unit.0.1.port.1.buscount=0
273
unit.0.1.port.1.channelcount=0
274
unit.0.1.port.2.b.0.alias=data_in
275
unit.0.1.port.2.b.0.channellist=9 10 11 12 13 14 15 16
276
unit.0.1.port.2.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
277
unit.0.1.port.2.b.0.display=0
278
unit.0.1.port.2.b.0.name=SyncOut_1
279
unit.0.1.port.2.b.0.orderindex=-1
280
unit.0.1.port.2.b.0.radix=Ascii
281
unit.0.1.port.2.b.0.signedOffset=0.0
282
unit.0.1.port.2.b.0.signedPrecision=0
283
unit.0.1.port.2.b.0.signedScaleFactor=1.0
284
unit.0.1.port.2.b.0.tokencount=0
285
unit.0.1.port.2.b.0.unsignedOffset=0.0
286
unit.0.1.port.2.b.0.unsignedPrecision=0
287
unit.0.1.port.2.b.0.unsignedScaleFactor=1.0
288
unit.0.1.port.2.b.0.value=A
289
unit.0.1.port.2.b.0.visible=1
290
unit.0.1.port.2.b.1.alias=period_clk_ns
291
unit.0.1.port.2.b.1.channellist=0 1 2 3 4 5 6 7
292
unit.0.1.port.2.b.1.color=java.awt.Color[r\=0,g\=0,b\=124]
293
unit.0.1.port.2.b.1.display=0
294
unit.0.1.port.2.b.1.name=SyncOut
295
unit.0.1.port.2.b.1.orderindex=-1
296
unit.0.1.port.2.b.1.radix=Unsigned
297
unit.0.1.port.2.b.1.signedOffset=0.0
298
unit.0.1.port.2.b.1.signedPrecision=0
299
unit.0.1.port.2.b.1.signedScaleFactor=1.0
300
unit.0.1.port.2.b.1.tokencount=0
301
unit.0.1.port.2.b.1.unsignedOffset=0.0
302
unit.0.1.port.2.b.1.unsignedPrecision=0
303
unit.0.1.port.2.b.1.unsignedScaleFactor=1.0
304
unit.0.1.port.2.b.1.value=20
305
unit.0.1.port.2.b.1.visible=1
306
unit.0.1.port.2.buscount=2
307
unit.0.1.port.2.channelcount=19
308
unit.0.1.port.2.s.0.alias=
309
unit.0.1.port.2.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
310
unit.0.1.port.2.s.0.display=0
311
unit.0.1.port.2.s.0.name=SyncOut[0]
312
unit.0.1.port.2.s.0.orderindex=-1
313
unit.0.1.port.2.s.0.persistence=0
314
unit.0.1.port.2.s.0.value=0
315
unit.0.1.port.2.s.0.visible=0
316
unit.0.1.port.2.s.1.alias=
317
unit.0.1.port.2.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
318
unit.0.1.port.2.s.1.display=0
319
unit.0.1.port.2.s.1.name=SyncOut[1]
320
unit.0.1.port.2.s.1.orderindex=-1
321
unit.0.1.port.2.s.1.persistence=0
322
unit.0.1.port.2.s.1.value=0
323
unit.0.1.port.2.s.1.visible=0
324
unit.0.1.port.2.s.10.alias=
325
unit.0.1.port.2.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
326
unit.0.1.port.2.s.10.display=0
327
unit.0.1.port.2.s.10.name=SyncOut[10]
328
unit.0.1.port.2.s.10.orderindex=-1
329
unit.0.1.port.2.s.10.persistence=0
330
unit.0.1.port.2.s.10.value=0
331
unit.0.1.port.2.s.10.visible=0
332
unit.0.1.port.2.s.11.alias=
333
unit.0.1.port.2.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
334
unit.0.1.port.2.s.11.display=0
335
unit.0.1.port.2.s.11.name=SyncOut[11]
336
unit.0.1.port.2.s.11.orderindex=-1
337
unit.0.1.port.2.s.11.persistence=0
338
unit.0.1.port.2.s.11.value=0
339
unit.0.1.port.2.s.11.visible=0
340
unit.0.1.port.2.s.12.alias=
341
unit.0.1.port.2.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
342
unit.0.1.port.2.s.12.display=0
343
unit.0.1.port.2.s.12.name=SyncOut[12]
344
unit.0.1.port.2.s.12.orderindex=-1
345
unit.0.1.port.2.s.12.persistence=0
346
unit.0.1.port.2.s.12.value=0
347
unit.0.1.port.2.s.12.visible=0
348
unit.0.1.port.2.s.13.alias=
349
unit.0.1.port.2.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
350
unit.0.1.port.2.s.13.display=0
351
unit.0.1.port.2.s.13.name=SyncOut[13]
352
unit.0.1.port.2.s.13.orderindex=-1
353
unit.0.1.port.2.s.13.persistence=0
354
unit.0.1.port.2.s.13.value=0
355
unit.0.1.port.2.s.13.visible=0
356
unit.0.1.port.2.s.14.alias=
357
unit.0.1.port.2.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
358
unit.0.1.port.2.s.14.display=0
359
unit.0.1.port.2.s.14.name=SyncOut[14]
360
unit.0.1.port.2.s.14.orderindex=-1
361
unit.0.1.port.2.s.14.persistence=0
362
unit.0.1.port.2.s.14.value=0
363
unit.0.1.port.2.s.14.visible=0
364
unit.0.1.port.2.s.15.alias=
365
unit.0.1.port.2.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
366
unit.0.1.port.2.s.15.display=0
367
unit.0.1.port.2.s.15.name=SyncOut[15]
368
unit.0.1.port.2.s.15.orderindex=-1
369
unit.0.1.port.2.s.15.persistence=0
370
unit.0.1.port.2.s.15.value=1
371
unit.0.1.port.2.s.15.visible=0
372
unit.0.1.port.2.s.16.alias=
373
unit.0.1.port.2.s.16.color=java.awt.Color[r\=0,g\=0,b\=124]
374
unit.0.1.port.2.s.16.display=0
375
unit.0.1.port.2.s.16.name=SyncOut[16]
376
unit.0.1.port.2.s.16.orderindex=-1
377
unit.0.1.port.2.s.16.persistence=0
378
unit.0.1.port.2.s.16.value=0
379
unit.0.1.port.2.s.16.visible=0
380
unit.0.1.port.2.s.17.alias=rs_in
381
unit.0.1.port.2.s.17.color=java.awt.Color[r\=0,g\=0,b\=124]
382
unit.0.1.port.2.s.17.display=0
383
unit.0.1.port.2.s.17.name=SyncOut[17]
384
unit.0.1.port.2.s.17.orderindex=-1
385
unit.0.1.port.2.s.17.persistence=0
386
unit.0.1.port.2.s.17.value=0
387
unit.0.1.port.2.s.17.visible=1
388
unit.0.1.port.2.s.18.alias=rst
389
unit.0.1.port.2.s.18.color=java.awt.Color[r\=0,g\=0,b\=124]
390
unit.0.1.port.2.s.18.display=0
391
unit.0.1.port.2.s.18.name=SyncOut[18]
392
unit.0.1.port.2.s.18.orderindex=-1
393
unit.0.1.port.2.s.18.persistence=0
394
unit.0.1.port.2.s.18.value=0
395
unit.0.1.port.2.s.18.visible=1
396
unit.0.1.port.2.s.2.alias=
397
unit.0.1.port.2.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
398
unit.0.1.port.2.s.2.display=0
399
unit.0.1.port.2.s.2.name=SyncOut[2]
400
unit.0.1.port.2.s.2.orderindex=-1
401
unit.0.1.port.2.s.2.persistence=0
402
unit.0.1.port.2.s.2.value=1
403
unit.0.1.port.2.s.2.visible=0
404
unit.0.1.port.2.s.3.alias=
405
unit.0.1.port.2.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
406
unit.0.1.port.2.s.3.display=0
407
unit.0.1.port.2.s.3.name=SyncOut[3]
408
unit.0.1.port.2.s.3.orderindex=-1
409
unit.0.1.port.2.s.3.persistence=0
410
unit.0.1.port.2.s.3.value=0
411
unit.0.1.port.2.s.3.visible=0
412
unit.0.1.port.2.s.4.alias=
413
unit.0.1.port.2.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
414
unit.0.1.port.2.s.4.display=0
415
unit.0.1.port.2.s.4.name=SyncOut[4]
416
unit.0.1.port.2.s.4.orderindex=-1
417
unit.0.1.port.2.s.4.persistence=0
418
unit.0.1.port.2.s.4.value=1
419
unit.0.1.port.2.s.4.visible=0
420
unit.0.1.port.2.s.5.alias=
421
unit.0.1.port.2.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
422
unit.0.1.port.2.s.5.display=0
423
unit.0.1.port.2.s.5.name=SyncOut[5]
424
unit.0.1.port.2.s.5.orderindex=-1
425
unit.0.1.port.2.s.5.persistence=0
426
unit.0.1.port.2.s.5.value=0
427
unit.0.1.port.2.s.5.visible=0
428
unit.0.1.port.2.s.6.alias=
429
unit.0.1.port.2.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
430
unit.0.1.port.2.s.6.display=0
431
unit.0.1.port.2.s.6.name=SyncOut[6]
432
unit.0.1.port.2.s.6.orderindex=-1
433
unit.0.1.port.2.s.6.persistence=0
434
unit.0.1.port.2.s.6.value=0
435
unit.0.1.port.2.s.6.visible=0
436
unit.0.1.port.2.s.7.alias=
437
unit.0.1.port.2.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
438
unit.0.1.port.2.s.7.display=0
439
unit.0.1.port.2.s.7.name=SyncOut[7]
440
unit.0.1.port.2.s.7.orderindex=-1
441
unit.0.1.port.2.s.7.persistence=0
442
unit.0.1.port.2.s.7.value=0
443
unit.0.1.port.2.s.7.visible=0
444
unit.0.1.port.2.s.8.alias=strobe_in
445
unit.0.1.port.2.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
446
unit.0.1.port.2.s.8.display=1
447
unit.0.1.port.2.s.8.name=SyncOut[8]
448
unit.0.1.port.2.s.8.orderindex=-1
449
unit.0.1.port.2.s.8.persistence=0
450
unit.0.1.port.2.s.8.value=0
451
unit.0.1.port.2.s.8.visible=1
452
unit.0.1.port.2.s.9.alias=
453
unit.0.1.port.2.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
454
unit.0.1.port.2.s.9.display=0
455
unit.0.1.port.2.s.9.name=SyncOut[9]
456
unit.0.1.port.2.s.9.orderindex=-1
457
unit.0.1.port.2.s.9.persistence=0
458
unit.0.1.port.2.s.9.value=1
459
unit.0.1.port.2.s.9.visible=0
460 11 leonardoar
unit.0.1.portcount=3
461
unit.0.1.username=MyVIO1
462
unit.0.1.vio.count=5
463
unit.0.1.vio.posn.0.channel=2147483646
464
unit.0.1.vio.posn.0.name=period_clk_ns
465 14 leonardoar
unit.0.1.vio.posn.0.port=2
466 11 leonardoar
unit.0.1.vio.posn.0.radix=4
467
unit.0.1.vio.posn.0.type=bus
468
unit.0.1.vio.posn.1.channel=8
469
unit.0.1.vio.posn.1.name=strobe_in
470 14 leonardoar
unit.0.1.vio.posn.1.port=2
471 11 leonardoar
unit.0.1.vio.posn.1.type=signal
472
unit.0.1.vio.posn.10.channel=18
473 14 leonardoar
unit.0.1.vio.posn.10.name=SyncOut[18]
474
unit.0.1.vio.posn.10.port=2
475 11 leonardoar
unit.0.1.vio.posn.10.type=signal
476
unit.0.1.vio.posn.11.channel=18
477 14 leonardoar
unit.0.1.vio.posn.11.name=SyncOut[18]
478
unit.0.1.vio.posn.11.port=2
479 11 leonardoar
unit.0.1.vio.posn.11.type=signal
480
unit.0.1.vio.posn.12.channel=18
481 14 leonardoar
unit.0.1.vio.posn.12.name=SyncOut[18]
482
unit.0.1.vio.posn.12.port=2
483 11 leonardoar
unit.0.1.vio.posn.12.type=signal
484
unit.0.1.vio.posn.13.channel=18
485 14 leonardoar
unit.0.1.vio.posn.13.name=SyncOut[18]
486
unit.0.1.vio.posn.13.port=2
487 11 leonardoar
unit.0.1.vio.posn.13.type=signal
488
unit.0.1.vio.posn.14.channel=18
489 14 leonardoar
unit.0.1.vio.posn.14.name=SyncOut[18]
490
unit.0.1.vio.posn.14.port=2
491 11 leonardoar
unit.0.1.vio.posn.14.type=signal
492
unit.0.1.vio.posn.15.channel=18
493 14 leonardoar
unit.0.1.vio.posn.15.name=SyncOut[18]
494
unit.0.1.vio.posn.15.port=2
495 11 leonardoar
unit.0.1.vio.posn.15.type=signal
496
unit.0.1.vio.posn.16.channel=18
497 14 leonardoar
unit.0.1.vio.posn.16.name=SyncOut[18]
498
unit.0.1.vio.posn.16.port=2
499 11 leonardoar
unit.0.1.vio.posn.16.type=signal
500
unit.0.1.vio.posn.17.channel=18
501 14 leonardoar
unit.0.1.vio.posn.17.name=SyncOut[18]
502
unit.0.1.vio.posn.17.port=2
503 11 leonardoar
unit.0.1.vio.posn.17.type=signal
504
unit.0.1.vio.posn.18.channel=18
505 14 leonardoar
unit.0.1.vio.posn.18.name=SyncOut[18]
506
unit.0.1.vio.posn.18.port=2
507 11 leonardoar
unit.0.1.vio.posn.18.type=signal
508
unit.0.1.vio.posn.2.channel=2147483646
509
unit.0.1.vio.posn.2.name=data_in
510 14 leonardoar
unit.0.1.vio.posn.2.port=2
511
unit.0.1.vio.posn.2.radix=5
512 11 leonardoar
unit.0.1.vio.posn.2.type=bus
513
unit.0.1.vio.posn.3.channel=17
514
unit.0.1.vio.posn.3.name=rs_in
515 14 leonardoar
unit.0.1.vio.posn.3.port=2
516 11 leonardoar
unit.0.1.vio.posn.3.type=signal
517
unit.0.1.vio.posn.4.channel=18
518
unit.0.1.vio.posn.4.name=rst
519 14 leonardoar
unit.0.1.vio.posn.4.port=2
520 11 leonardoar
unit.0.1.vio.posn.4.type=signal
521
unit.0.1.vio.posn.5.channel=18
522 14 leonardoar
unit.0.1.vio.posn.5.name=SyncOut[18]
523
unit.0.1.vio.posn.5.port=2
524 11 leonardoar
unit.0.1.vio.posn.5.type=signal
525
unit.0.1.vio.posn.6.channel=18
526 14 leonardoar
unit.0.1.vio.posn.6.name=SyncOut[18]
527
unit.0.1.vio.posn.6.port=2
528 11 leonardoar
unit.0.1.vio.posn.6.type=signal
529
unit.0.1.vio.posn.7.channel=18
530 14 leonardoar
unit.0.1.vio.posn.7.name=SyncOut[18]
531
unit.0.1.vio.posn.7.port=2
532 11 leonardoar
unit.0.1.vio.posn.7.type=signal
533
unit.0.1.vio.posn.8.channel=18
534 14 leonardoar
unit.0.1.vio.posn.8.name=SyncOut[18]
535
unit.0.1.vio.posn.8.port=2
536 11 leonardoar
unit.0.1.vio.posn.8.type=signal
537
unit.0.1.vio.posn.9.channel=18
538 14 leonardoar
unit.0.1.vio.posn.9.name=SyncOut[18]
539
unit.0.1.vio.posn.9.port=2
540 11 leonardoar
unit.0.1.vio.posn.9.type=signal
541
unit.0.1.vio.readperiod=0

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.