OpenCores
URL https://opencores.org/ocsvn/lcd_block/lcd_block/trunk

Subversion Repositories lcd_block

[/] [lcd_block/] [trunk/] [hdl/] [iseProject/] [top_hw_testbench.v] - Blame information for rev 11

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 11 leonardoar
`timescale 1ns / 1ps
2
/*
3
Top module that will instantiate and connect our DUT (lcd_controller) the ICON, VIO , ILA cores
4
*/
5
module top_hw_testbench(
6
    input clk
7
    );
8
 
9
        // Declare some wires to connect the components
10
        wire rst;
11
        wire rs_in,strobe_in;
12
        wire[7:0] data_in, period_clk_ns;
13
        wire [3:0] lcd_nibble;
14
        wire lcd_e,lcd_rs,lcd_rw,disable_flash,done;
15
 
16
        // Declare the ICON wires
17
        wire [35: 0] control0;
18
        wire [35: 0] control1;
19
        // Declare VIO wires
20
        wire [18: 0] async_out;
21
        // Declare ILA wires
22
        wire trig_0;
23
        wire [16:0] data;
24
 
25
        // Instantiate our Device under test
26
        lcd_controller DUT (
27
                rst,
28
                clk,
29
                rs_in,
30
                data_in,
31
                strobe_in,
32
                period_clk_ns,
33
                lcd_e,
34
                lcd_nibble,
35
                lcd_rs,
36
                lcd_rw,
37
                disable_flash,
38
                done
39
                );
40
 
41
        coreICON integratedController (
42
      .CONTROL0(control0), // INOUT BUS [35:0]
43
      .CONTROL1(control1)
44
                ); // INOUT BUS [35:0]
45
 
46
 
47
        coreILA integratedLogicAnalyser (
48
      .CONTROL(control0), // INOUT BUS [35:0]
49
      .CLK(clk), // IN
50
      .DATA(data), // DATA [16:0];
51
      .TRIG0(trig_0)
52
        ); // IN BUS [0:0]
53
 
54
        coreVIO VIO_inst
55
    (
56
      .CONTROL(control1), // INOUT BUS [35:0]
57
      .ASYNC_OUT(async_out)
58
        ); // IN BUS [18:0]
59
 
60
        assign trig_0 = lcd_e;
61
        assign {rst, rs_in, data_in, strobe_in, period_clk_ns} = async_out;
62
        assign data = {7'd1,lcd_e, lcd_nibble[3:0], lcd_rs, lcd_rw, disable_flash, done, strobe_in};
63
 
64
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.