OpenCores
URL https://opencores.org/ocsvn/leros/leros/trunk

Subversion Repositories leros

[/] [leros/] [trunk/] [quartus/] [altde2-70/] [leros.sdc] - Blame information for rev 4

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 martin
###########################################################################
2
# SDC files for Cycore based boards
3
###########################################################################
4
 
5
 
6
# Clock in input pin (50 MHz)
7
create_clock -period 20.0 [get_ports clk]
8
# Create generated clocks based on PLLs
9
derive_pll_clocks
10
 
11
# ** Input/Output Delays
12
#    -------------------
13
# MS: I want following constrains for the SRAM connection
14
# Input:
15
#    maximum Tsu 2.2 ns
16
#    maximum Tho ? ns (could be 0-2, will be negative anyway)
17
# Output:
18
#    maximum Tco 3 ns
19
#
20
# Are the following constraints correct?
21
# I'm confused by the min/max input delay notion.
22
 
23
# Use FPGA-centric constraints (general pins)
24
# Tsu 5 ns
25
set_max_delay -from [all_inputs] -to [all_registers] 5
26
# the value is -th, so a negative hold time would be positive
27
set_min_delay -from [all_inputs] -to [all_registers] -0.0
28
# Tco 10 ns
29
set_max_delay -from [all_registers] -to [all_outputs] 10
30
# WTF shall this constraint be? A hold time on an output port?
31
set_min_delay -from [all_registers] -to [all_outputs] -0.0
32
 
33
# Use FPGA-centric constraints (SRAM pins)
34
# Tsu 2 ns
35
set_max_delay -from [get_ports *] -to [get_registers {*ram*}] 2.2
36
# Tco 3 ns
37
set_max_delay -from [get_registers *] -to [get_ports {ram*}] 3
38
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.