OpenCores
URL https://opencores.org/ocsvn/leros/leros/trunk

Subversion Repositories leros

[/] [leros/] [trunk/] [quartus/] [dspio/] [leros.qsf] - Blame information for rev 4

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 martin
# -------------------------------------------------------------------------- #
2
#
3
# Copyright (C) 1991-2010 Altera Corporation
4
# Your use of Altera Corporation's design tools, logic functions
5
# and other software and tools, and its AMPP partner logic
6
# functions, and any output files from any of the foregoing
7
# (including device programming or simulation files), and any
8
# associated documentation or information are expressly subject
9
# to the terms and conditions of the Altera Program License
10
# Subscription Agreement, Altera MegaCore Function License
11
# Agreement, or other applicable license agreement, including,
12
# without limitation, that your use is for the sole purpose of
13
# programming logic devices manufactured by Altera and sold by
14
# Altera or its authorized distributors.  Please refer to the
15
# applicable agreement for further details.
16
#
17
# -------------------------------------------------------------------------- #
18
#
19
# Quartus II
20
# Version 10.1 Build 153 11/29/2010 SJ Web Edition
21
# Date created = 16:33:34  February 20, 2011
22
#
23
# -------------------------------------------------------------------------- #
24
#
25
# Notes:
26
#
27
# 1) The default values for assignments are stored in the file:
28
#               leros_assignment_defaults.qdf
29
#    If this file doesn't exist, see file:
30
#               assignment_defaults.qdf
31
#
32
# 2) Altera recommends that you do not modify this file. This
33
#    file is updated automatically by the Quartus II software
34
#    and any changes you make may be lost or overwritten.
35
#
36
# -------------------------------------------------------------------------- #
37
 
38
 
39
set_global_assignment -name FAMILY Cyclone
40
set_global_assignment -name DEVICE EP1C12Q240C6
41
set_global_assignment -name TOP_LEVEL_ENTITY leros_top
42
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 10.1
43
set_global_assignment -name PROJECT_CREATION_TIME_DATE "16:33:34  FEBRUARY 20, 2011"
44
set_global_assignment -name LAST_QUARTUS_VERSION 10.1
45
set_global_assignment -name DEVICE_FILTER_PACKAGE PQFP
46
set_global_assignment -name DEVICE_FILTER_PIN_COUNT 240
47
set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 6
48
set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1
49
set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
50
set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
51
set_global_assignment -name USE_CONFIGURATION_DEVICE ON
52
set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED"
53
 
54
# Pin & Location Assignments
55
# ==========================
56
set_location_assignment PIN_152 -to clk
57
set_location_assignment PIN_47 -to fl_a[0]
58
set_location_assignment PIN_20 -to fl_a[10]
59
set_location_assignment PIN_14 -to fl_a[11]
60
set_location_assignment PIN_135 -to fl_a[12]
61
set_location_assignment PIN_156 -to fl_a[13]
62
set_location_assignment PIN_144 -to fl_a[14]
63
set_location_assignment PIN_137 -to fl_a[15]
64
set_location_assignment PIN_139 -to fl_a[16]
65
set_location_assignment PIN_143 -to fl_a[17]
66
set_location_assignment PIN_141 -to fl_a[18]
67
set_location_assignment PIN_48 -to fl_a[1]
68
set_location_assignment PIN_49 -to fl_a[2]
69
set_location_assignment PIN_50 -to fl_a[3]
70
set_location_assignment PIN_125 -to fl_a[4]
71
set_location_assignment PIN_127 -to fl_a[5]
72
set_location_assignment PIN_131 -to fl_a[6]
73
set_location_assignment PIN_133 -to fl_a[7]
74
set_location_assignment PIN_158 -to fl_a[8]
75
set_location_assignment PIN_16 -to fl_a[9]
76
set_location_assignment PIN_46 -to fl_d[0]
77
set_location_assignment PIN_45 -to fl_d[1]
78
set_location_assignment PIN_44 -to fl_d[2]
79
set_location_assignment PIN_165 -to fl_d[3]
80
set_location_assignment PIN_164 -to fl_d[4]
81
set_location_assignment PIN_17 -to fl_d[5]
82
set_location_assignment PIN_18 -to fl_d[6]
83
set_location_assignment PIN_19 -to fl_d[7]
84
set_location_assignment PIN_37 -to fl_ncs
85
set_location_assignment PIN_23 -to fl_ncsb
86
set_location_assignment PIN_24 -to fl_noe
87
set_location_assignment PIN_15 -to fl_nwe
88
set_location_assignment PIN_29 -to fl_rdy
89
set_location_assignment PIN_21 -to freeio
90
set_location_assignment PIN_124 -to io_b[10]
91
set_location_assignment PIN_58 -to io_b[1]
92
set_location_assignment PIN_59 -to io_b[2]
93
set_location_assignment PIN_60 -to io_b[3]
94
set_location_assignment PIN_61 -to io_b[4]
95
set_location_assignment PIN_62 -to io_b[5]
96
set_location_assignment PIN_120 -to io_b[6]
97
set_location_assignment PIN_121 -to io_b[7]
98
set_location_assignment PIN_122 -to io_b[8]
99
set_location_assignment PIN_123 -to io_b[9]
100
set_location_assignment PIN_13 -to io_l[10]
101
set_location_assignment PIN_38 -to io_l[11]
102
set_location_assignment PIN_39 -to io_l[12]
103
set_location_assignment PIN_41 -to io_l[13]
104
set_location_assignment PIN_42 -to io_l[14]
105
set_location_assignment PIN_43 -to io_l[15]
106
set_location_assignment PIN_53 -to io_l[16]
107
set_location_assignment PIN_54 -to io_l[17]
108
set_location_assignment PIN_55 -to io_l[18]
109
set_location_assignment PIN_56 -to io_l[19]
110
set_location_assignment PIN_2 -to io_l[1]
111
set_location_assignment PIN_57 -to io_l[20]
112
set_location_assignment PIN_3 -to io_l[2]
113
set_location_assignment PIN_4 -to io_l[3]
114
set_location_assignment PIN_5 -to io_l[4]
115
set_location_assignment PIN_6 -to io_l[5]
116
set_location_assignment PIN_7 -to io_l[6]
117
set_location_assignment PIN_8 -to io_l[7]
118
set_location_assignment PIN_11 -to io_l[8]
119
set_location_assignment PIN_12 -to io_l[9]
120
set_location_assignment PIN_162 -to io_r[10]
121
set_location_assignment PIN_161 -to io_r[11]
122
set_location_assignment PIN_160 -to io_r[12]
123
set_location_assignment PIN_159 -to io_r[13]
124
set_location_assignment PIN_140 -to io_r[14]
125
set_location_assignment PIN_138 -to io_r[15]
126
set_location_assignment PIN_136 -to io_r[16]
127
set_location_assignment PIN_134 -to io_r[17]
128
set_location_assignment PIN_132 -to io_r[18]
129
set_location_assignment PIN_128 -to io_r[19]
130
set_location_assignment PIN_176 -to io_r[1]
131
set_location_assignment PIN_126 -to io_r[20]
132
set_location_assignment PIN_175 -to io_r[2]
133
set_location_assignment PIN_174 -to io_r[3]
134
set_location_assignment PIN_173 -to io_r[4]
135
set_location_assignment PIN_170 -to io_r[5]
136
set_location_assignment PIN_169 -to io_r[6]
137
set_location_assignment PIN_168 -to io_r[7]
138
set_location_assignment PIN_167 -to io_r[8]
139
set_location_assignment PIN_163 -to io_r[9]
140
set_location_assignment PIN_1 -to io_t[1]
141
set_location_assignment PIN_240 -to io_t[2]
142
set_location_assignment PIN_239 -to io_t[3]
143
set_location_assignment PIN_181 -to io_t[4]
144
set_location_assignment PIN_180 -to io_t[5]
145
set_location_assignment PIN_179 -to io_t[6]
146
set_location_assignment PIN_64 -to rama_a[0]
147
set_location_assignment PIN_63 -to rama_a[10]
148
set_location_assignment PIN_116 -to rama_a[11]
149
set_location_assignment PIN_114 -to rama_a[12]
150
set_location_assignment PIN_108 -to rama_a[13]
151
set_location_assignment PIN_106 -to rama_a[14]
152
set_location_assignment PIN_67 -to rama_a[15]
153
set_location_assignment PIN_119 -to rama_a[16]
154
set_location_assignment PIN_118 -to rama_a[17]
155
set_location_assignment PIN_66 -to rama_a[1]
156
set_location_assignment PIN_68 -to rama_a[2]
157
set_location_assignment PIN_74 -to rama_a[3]
158
set_location_assignment PIN_76 -to rama_a[4]
159
set_location_assignment PIN_107 -to rama_a[5]
160
set_location_assignment PIN_113 -to rama_a[6]
161
set_location_assignment PIN_115 -to rama_a[7]
162
set_location_assignment PIN_117 -to rama_a[8]
163
set_location_assignment PIN_65 -to rama_a[9]
164
set_location_assignment PIN_82 -to rama_d[0]
165
set_location_assignment PIN_95 -to rama_d[10]
166
set_location_assignment PIN_93 -to rama_d[11]
167
set_location_assignment PIN_87 -to rama_d[12]
168
set_location_assignment PIN_85 -to rama_d[13]
169
set_location_assignment PIN_83 -to rama_d[14]
170
set_location_assignment PIN_79 -to rama_d[15]
171
set_location_assignment PIN_84 -to rama_d[1]
172
set_location_assignment PIN_86 -to rama_d[2]
173
set_location_assignment PIN_88 -to rama_d[3]
174
set_location_assignment PIN_94 -to rama_d[4]
175
set_location_assignment PIN_98 -to rama_d[5]
176
set_location_assignment PIN_100 -to rama_d[6]
177
set_location_assignment PIN_104 -to rama_d[7]
178
set_location_assignment PIN_101 -to rama_d[8]
179
set_location_assignment PIN_99 -to rama_d[9]
180
set_location_assignment PIN_78 -to rama_ncs
181
set_location_assignment PIN_77 -to rama_nlb
182
set_location_assignment PIN_73 -to rama_noe
183
set_location_assignment PIN_75 -to rama_nub
184
set_location_assignment PIN_105 -to rama_nwe
185
set_location_assignment PIN_237 -to ramb_a[0]
186
set_location_assignment PIN_238 -to ramb_a[10]
187
set_location_assignment PIN_185 -to ramb_a[11]
188
set_location_assignment PIN_187 -to ramb_a[12]
189
set_location_assignment PIN_193 -to ramb_a[13]
190
set_location_assignment PIN_195 -to ramb_a[14]
191
set_location_assignment PIN_234 -to ramb_a[15]
192
set_location_assignment PIN_182 -to ramb_a[16]
193
set_location_assignment PIN_183 -to ramb_a[17]
194
set_location_assignment PIN_235 -to ramb_a[1]
195
set_location_assignment PIN_233 -to ramb_a[2]
196
set_location_assignment PIN_227 -to ramb_a[3]
197
set_location_assignment PIN_225 -to ramb_a[4]
198
set_location_assignment PIN_194 -to ramb_a[5]
199
set_location_assignment PIN_188 -to ramb_a[6]
200
set_location_assignment PIN_186 -to ramb_a[7]
201
set_location_assignment PIN_184 -to ramb_a[8]
202
set_location_assignment PIN_236 -to ramb_a[9]
203
set_location_assignment PIN_219 -to ramb_d[0]
204
set_location_assignment PIN_206 -to ramb_d[10]
205
set_location_assignment PIN_208 -to ramb_d[11]
206
set_location_assignment PIN_214 -to ramb_d[12]
207
set_location_assignment PIN_216 -to ramb_d[13]
208
set_location_assignment PIN_218 -to ramb_d[14]
209
set_location_assignment PIN_222 -to ramb_d[15]
210
set_location_assignment PIN_217 -to ramb_d[1]
211
set_location_assignment PIN_215 -to ramb_d[2]
212
set_location_assignment PIN_213 -to ramb_d[3]
213
set_location_assignment PIN_207 -to ramb_d[4]
214
set_location_assignment PIN_203 -to ramb_d[5]
215
set_location_assignment PIN_201 -to ramb_d[6]
216
set_location_assignment PIN_197 -to ramb_d[7]
217
set_location_assignment PIN_200 -to ramb_d[8]
218
set_location_assignment PIN_202 -to ramb_d[9]
219
set_location_assignment PIN_223 -to ramb_ncs
220
set_location_assignment PIN_224 -to ramb_nlb
221
set_location_assignment PIN_228 -to ramb_noe
222
set_location_assignment PIN_226 -to ramb_nub
223
set_location_assignment PIN_196 -to ramb_nwe
224
set_location_assignment PIN_28 -to ser_ncts
225
set_location_assignment PIN_177 -to ser_nrts
226
set_location_assignment PIN_153 -to ser_rxd
227
set_location_assignment PIN_178 -to ser_txd
228
set_location_assignment PIN_166 -to wd
229
 
230
 
231
 
232
 
233
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
234
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
235
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
236
set_global_assignment -name CYCLONE_CONFIGURATION_SCHEME "PASSIVE SERIAL"
237
set_global_assignment -name GENERATE_RBF_FILE ON
238
set_global_assignment -name RESERVE_ASDO_AFTER_CONFIGURATION "USE AS REGULAR IO"
239
set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVCMOS"
240
set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "AS INPUT TRI-STATED"
241
set_global_assignment -name VHDL_FILE ../../vhdl/core/leros_types.vhd
242
set_global_assignment -name VHDL_FILE ../../vhdl/generated/leros_rom.vhd
243
set_global_assignment -name VHDL_FILE ../../vhdl/core/leros_im.vhd
244
set_global_assignment -name VHDL_FILE ../../vhdl/core/leros_decode.vhd
245
set_global_assignment -name VHDL_FILE ../../vhdl/core/leros_fedec.vhd
246
set_global_assignment -name VHDL_FILE ../../vhdl/core/leros_ex.vhd
247
set_global_assignment -name VHDL_FILE ../../vhdl/core/leros.vhd
248
set_global_assignment -name VHDL_FILE ../../vhdl/simpcon/uart.vhd
249
set_global_assignment -name VHDL_FILE ../../vhdl/altera/cyc_pll.vhd
250
set_global_assignment -name VHDL_FILE ../../vhdl/top/leroscyc12.vhd
251
 
252
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.