OpenCores
URL https://opencores.org/ocsvn/leros/leros/trunk

Subversion Repositories leros

[/] [leros/] [trunk/] [quartus/] [fmax/] [leros.sdc] - Blame information for rev 4

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 martin
###########################################################################
2
# SDC files for Cycore based boards
3
###########################################################################
4
 
5
 
6
# Clock in input pin (20 MHz)
7
create_clock -period 50.0 [get_ports clk]
8
# Create generated clocks based on PLLs
9
derive_pll_clocks
10
derive_clock_uncertainty
11
 
12
# ** Input/Output Delays
13
#    -------------------
14
# MS: I want following constrains for the SRAM connection
15
# Input:
16
#    maximum Tsu 2.2 ns
17
#    maximum Tho ? ns (could be 0-2, will be negative anyway)
18
# Output:
19
#    maximum Tco 3 ns
20
#
21
# Are the following constraints correct?
22
# I'm confused by the min/max input delay notion.
23
 
24
# Use FPGA-centric constraints (general pins)
25
# Tsu 5 ns
26
set_max_delay -from [all_inputs] -to [all_registers] 5
27
# the value is -th, so a negative hold time would be positive
28
set_min_delay -from [all_inputs] -to [all_registers] -0.0
29
# Tco 10 ns
30
set_max_delay -from [all_registers] -to [all_outputs] 10
31
# WTF shall this constraint be? A hold time on an output port?
32
set_min_delay -from [all_registers] -to [all_outputs] -0.0
33
 
34
# Use FPGA-centric constraints (SRAM pins)
35
# Tsu 2 ns
36
set_max_delay -from [get_ports *] -to [get_registers {*ram*}] 2.2
37
# Tco 3 ns
38
set_max_delay -from [get_registers *] -to [get_ports {ram*}] 3
39
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.