OpenCores
URL https://opencores.org/ocsvn/leros/leros/trunk

Subversion Repositories leros

[/] [leros/] [trunk/] [vhdl/] [top/] [leros_nexys2.vhd] - Blame information for rev 4

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 martin
--
2
--  Copyright 2011 Martin Schoeberl <masca@imm.dtu.dk>,
3
--                 Technical University of Denmark, DTU Informatics. 
4
--  All rights reserved.
5
--
6
-- Redistribution and use in source and binary forms, with or without
7
-- modification, are permitted provided that the following conditions are met:
8
-- 
9
--    1. Redistributions of source code must retain the above copyright notice,
10
--       this list of conditions and the following disclaimer.
11
-- 
12
--    2. Redistributions in binary form must reproduce the above copyright
13
--       notice, this list of conditions and the following disclaimer in the
14
--       documentation and/or other materials provided with the distribution.
15
-- 
16
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDER ``AS IS'' AND ANY EXPRESS
17
-- OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES
18
-- OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN
19
-- NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY
20
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
21
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
22
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND
23
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
24
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF
25
-- THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
26
-- 
27
-- The views and conclusions contained in the software and documentation are
28
-- those of the authors and should not be interpreted as representing official
29
-- policies, either expressed or implied, of the copyright holder.
30
-- 
31
 
32
 
33
--
34
--      leros_nexys2.vhd
35
--
36
--      top level for cycore borad with EP1C12
37
--
38
--      2011-02-20      creation
39
--
40
--
41
 
42
 
43
library ieee;
44
use ieee.std_logic_1164.all;
45
use ieee.numeric_std.all;
46
 
47
use work.leros_types.all;
48
 
49
entity leros_nexys2 is
50
port (
51
        clk     : in std_logic;
52
        led     : out std_logic_vector(7 downto 0);
53
--      btn             : in std_logic_vector(3 downto 0);
54
--      rsrx    : in std_logic;
55
        rstx    : out std_logic
56
);
57
end leros_nexys2;
58
 
59
architecture rtl of leros_nexys2 is
60
 
61
 
62
--
63
--      Signals
64
--
65
        signal clk_int                  : std_logic;
66
 
67
        signal int_res                  : std_logic;
68
        signal res_cnt                  : unsigned(2 downto 0) := "000"; -- for the simulation
69
 
70
        attribute altera_attribute : string;
71
        attribute altera_attribute of res_cnt : signal is "POWER_UP_LEVEL=LOW";
72
 
73
        signal ioout : io_out_type;
74
        signal ioin : io_in_type;
75
 
76
        signal outp                     : std_logic_vector(15 downto 0);
77
 
78
begin
79
 
80
        -- input clock is 50 MHz
81
        -- let's go for 200 MHz ;-)
82
        -- but for now 100 MHz is enough
83
        -- limit is 9.354ns => 100 MHz should be ok
84
        pll_inst : entity work.sp3epll generic map(
85
                multiply_by => 2,
86
                divide_by => 1
87
        )
88
        port map (
89
                CLKIN_IN => clk,
90
                RST_IN => '0',
91
                CLKFX_OUT => clk_int,
92
                CLKIN_IBUFG_OUT => open,
93
                CLK0_OUT => open,
94
                LOCKED_OUT => open
95
        );
96
 
97
--      clk_int <= clk;
98
--
99
--      internal reset generation
100
--      should include the PLL lock signal
101
--
102
 
103
process(clk_int)
104
begin
105
        if rising_edge(clk_int) then
106
                if (res_cnt/="111") then
107
                        res_cnt <= res_cnt+1;
108
                end if;
109
 
110
                int_res <= not res_cnt(0) or not res_cnt(1) or not res_cnt(2);
111
        end if;
112
end process;
113
 
114
 
115
        cpu: entity work.leros
116
                port map(clk_int, int_res, ioout, ioin);
117
 
118
        ioin.rddata <= (others => '0');
119
 
120
        rstx <= '0'; -- just a default to make ISE happy
121
 
122
process(clk_int)
123
begin
124
        if rising_edge(clk_int) then
125
                if ioout.wr='1' then
126
                        outp <= ioout.wrdata;
127
                end if;
128
                led <= outp(7 downto 0);
129
        end if;
130
end process;
131
 
132
 
133
end rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.