OpenCores
URL https://opencores.org/ocsvn/leros/leros/trunk

Subversion Repositories leros

[/] [leros/] [trunk/] [vhdl/] [xilinx/] [sp3epll.vhd] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 martin
--------------------------------------------------------------------------------
2
-- Copyright (c) 1995-2010 Xilinx, Inc.  All rights reserved.
3
--------------------------------------------------------------------------------
4
--   ____  ____ 
5
--  /   /\/   / 
6
-- /___/  \  /    Vendor: Xilinx 
7
-- \   \   \/     Version : 12.4
8
--  \   \         Application : xaw2vhdl
9
--  /   /         Filename : sp3epll.vhd
10
-- /___/   /\     Timestamp : 03/07/2011 17:03:15
11
-- \   \  /  \ 
12
--  \___\/\___\ 
13
--
14
--Command: xaw2vhdl-st X:\\leros\xilinx\nexys2\ipcore_dir\.\sp3epll.xaw X:\\leros\xilinx\nexys2\ipcore_dir\.\sp3epll
15
--Design Name: sp3epll
16
--Device: xc3s500e-4fg320
17
--
18
-- Module sp3epll
19
-- Generated by Xilinx Architecture Wizard
20
-- Written for synthesis tool: XST
21
-- Period Jitter (unit interval) for block DCM_SP_INST = 0.14 UI
22
-- Period Jitter (Peak-to-Peak) for block DCM_SP_INST = 0.70 ns
23
 
24
library ieee;
25
use ieee.std_logic_1164.ALL;
26
use ieee.numeric_std.ALL;
27
library UNISIM;
28
use UNISIM.Vcomponents.ALL;
29
 
30
entity sp3epll is
31
   generic (
32
        multiply_by : integer;
33
        divide_by : integer);
34
   port ( CLKIN_IN        : in    std_logic;
35
          RST_IN          : in    std_logic;
36
          CLKFX_OUT       : out   std_logic;
37
          CLKIN_IBUFG_OUT : out   std_logic;
38
          CLK0_OUT        : out   std_logic;
39
          LOCKED_OUT      : out   std_logic);
40
end sp3epll;
41
 
42
architecture BEHAVIORAL of sp3epll is
43
   signal CLKFB_IN        : std_logic;
44
   signal CLKFX_BUF       : std_logic;
45
   signal CLKIN_IBUFG     : std_logic;
46
   signal CLK0_BUF        : std_logic;
47
   signal GND_BIT         : std_logic;
48
begin
49
   GND_BIT <= '0';
50
   CLKIN_IBUFG_OUT <= CLKIN_IBUFG;
51
   CLK0_OUT <= CLKFB_IN;
52
   CLKFX_BUFG_INST : BUFG
53
      port map (I=>CLKFX_BUF,
54
                O=>CLKFX_OUT);
55
 
56
   CLKIN_IBUFG_INST : IBUFG
57
      port map (I=>CLKIN_IN,
58
                O=>CLKIN_IBUFG);
59
 
60
   CLK0_BUFG_INST : BUFG
61
      port map (I=>CLK0_BUF,
62
                O=>CLKFB_IN);
63
 
64
   DCM_SP_INST : DCM_SP
65
   generic map( CLK_FEEDBACK => "1X",
66
            CLKDV_DIVIDE => 2.0,
67
            CLKFX_DIVIDE => divide_by,
68
            CLKFX_MULTIPLY => multiply_by,
69
            CLKIN_DIVIDE_BY_2 => FALSE,
70
            CLKIN_PERIOD => 20.000,
71
            CLKOUT_PHASE_SHIFT => "NONE",
72
            DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS",
73
            DFS_FREQUENCY_MODE => "LOW",
74
            DLL_FREQUENCY_MODE => "LOW",
75
            DUTY_CYCLE_CORRECTION => TRUE,
76
            FACTORY_JF => x"C080",
77
            PHASE_SHIFT => 0,
78
            STARTUP_WAIT => FALSE)
79
      port map (CLKFB=>CLKFB_IN,
80
                CLKIN=>CLKIN_IBUFG,
81
                DSSEN=>GND_BIT,
82
                PSCLK=>GND_BIT,
83
                PSEN=>GND_BIT,
84
                PSINCDEC=>GND_BIT,
85
                RST=>RST_IN,
86
                CLKDV=>open,
87
                CLKFX=>CLKFX_BUF,
88
                CLKFX180=>open,
89
                CLK0=>CLK0_BUF,
90
                CLK2X=>open,
91
                CLK2X180=>open,
92
                CLK90=>open,
93
                CLK180=>open,
94
                CLK270=>open,
95
                LOCKED=>LOCKED_OUT,
96
                PSDONE=>open,
97
                STATUS=>open);
98
 
99
end BEHAVIORAL;
100
 
101
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.