OpenCores
URL https://opencores.org/ocsvn/light52/light52/trunk

Subversion Repositories light52

[/] [light52/] [trunk/] [boards/] [avnet_s3aeval/] [Avnet_Sp3A_Eval.ucf] - Blame information for rev 8

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 8 ja_rd
#***********************************************************************************
2
#*          Project:   Avnet Spartan-3A Evaluation Board (XC3S400A-4FTG256C)
3
#*
4
#*        File Name:   Avnet_Sp3A_Eval.ucf
5
#*         Revision:   1.1
6
#*             Date:   December 1, 2008
7
#*
8
#*      Description:   Master UCF for the Sp3A Eval board
9
#*
10
#************************************************************************************
11
 
12
CONFIG VCCAUX = "3.3" ;
13
 
14
# Clock Period Constraint, 16 MHz on-board clock
15
Net CLK_16MHZ TNM_NET = CLK_16MHZ;
16
TIMESPEC TS_CLK_16MHZ = PERIOD CLK_16MHZ 62.50 ns;
17
 
18
# I/O Timing Constraints
19
OFFSET = IN  10 ns BEFORE CLK_16MHZ;
20
OFFSET = OUT 10 ns AFTER  CLK_16MHZ;
21
 
22
 
23
# I/O Location Constraints
24
 
25
# Clocks
26
NET CLK_12MHZ           LOC = N9    | IOSTANDARD = LVCMOS33               ;   # CLK_12MHZ
27
NET CLK_16MHZ           LOC = C10   | IOSTANDARD = LVCMOS33               ;   # CLK_16MHZ
28
NET CLK_32KHZ           LOC = T7    | IOSTANDARD = LVCMOS33               ;   # CLK_32KHZ
29
 
30
# Parallel Flash
31
NET FLASH_A[0]          LOC = P16   | IOSTANDARD = LVCMOS33               ;   # FLASH_A[0, also D15
32
NET FLASH_A[1]          LOC = N16   | IOSTANDARD = LVCMOS33               ;   # FLASH_A[1
33
NET FLASH_A[2]          LOC = L13   | IOSTANDARD = LVCMOS33               ;   # FLASH_A[2
34
NET FLASH_A[3]          LOC = K13   | IOSTANDARD = LVCMOS33               ;   # FLASH_A[3
35
NET FLASH_A[4]          LOC = M15   | IOSTANDARD = LVCMOS33               ;   # FLASH_A[4
36
NET FLASH_A[5]          LOC = M16   | IOSTANDARD = LVCMOS33               ;   # FLASH_A[5
37
NET FLASH_A[6]          LOC = L14   | IOSTANDARD = LVCMOS33               ;   # FLASH_A[6
38
NET FLASH_A[7]          LOC = L16   | IOSTANDARD = LVCMOS33               ;   # FLASH_A[7
39
NET FLASH_A[8]          LOC = J12   | IOSTANDARD = LVCMOS33               ;   # FLASH_A[8
40
NET FLASH_A[9]          LOC = J13   | IOSTANDARD = LVCMOS33               ;   # FLASH_A[9
41
NET FLASH_A[10]         LOC = G16   | IOSTANDARD = LVCMOS33               ;   # FLASH_A[10
42
NET FLASH_A[11]         LOC = F16   | IOSTANDARD = LVCMOS33               ;   # FLASH_A[11
43
NET FLASH_A[12]         LOC = H13   | IOSTANDARD = LVCMOS33               ;   # FLASH_A[12
44
NET FLASH_A[13]         LOC = G14   | IOSTANDARD = LVCMOS33               ;   # FLASH_A[13
45
NET FLASH_A[14]         LOC = E16   | IOSTANDARD = LVCMOS33               ;   # FLASH_A[14
46
NET FLASH_A[15]         LOC = F15   | IOSTANDARD = LVCMOS33               ;   # FLASH_A[15
47
NET FLASH_A[16]         LOC = G13   | IOSTANDARD = LVCMOS33               ;   # FLASH_A[16
48
NET FLASH_A[17]         LOC = F14   | IOSTANDARD = LVCMOS33               ;   # FLASH_A[17
49
NET FLASH_A[18]         LOC = E14   | IOSTANDARD = LVCMOS33               ;   # FLASH_A[18
50
NET FLASH_A[19]         LOC = F13   | IOSTANDARD = LVCMOS33               ;   # FLASH_A[19
51
NET FLASH_A[20]         LOC = D16   | IOSTANDARD = LVCMOS33               ;   # FLASH_A[20
52
NET FLASH_A[21]         LOC = D15   | IOSTANDARD = LVCMOS33               ;   # FLASH_A[21
53
NET FLASH_BYTEn         LOC = N14   | IOSTANDARD = LVCMOS33               ;   # FLASH_BYTE#
54
NET FLASH_CEn           LOC = P15   | IOSTANDARD = LVCMOS33               ;   # FLASH_CE#
55
NET FLASH_D[0]          LOC = T14   | IOSTANDARD = LVCMOS33               ;   # FLASH_D0
56
NET FLASH_D[1]          LOC = R13   | IOSTANDARD = LVCMOS33               ;   # FLASH_D1
57
NET FLASH_D[2]          LOC = T13   | IOSTANDARD = LVCMOS33               ;   # FLASH_D2
58
NET FLASH_D[3]          LOC = P12   | IOSTANDARD = LVCMOS33               ;   # FLASH_D3
59
NET FLASH_D[4]          LOC = N8    | IOSTANDARD = LVCMOS33               ;   # FLASH_D4
60
NET FLASH_D[5]          LOC = P7    | IOSTANDARD = LVCMOS33               ;   # FLASH_D5
61
NET FLASH_D[6]          LOC = T6    | IOSTANDARD = LVCMOS33               ;   # FLASH_D6
62
NET FLASH_D[7]          LOC = T5    | IOSTANDARD = LVCMOS33               ;   # FLASH_D7
63
NET FLASH_D[8]          LOC = P11   | IOSTANDARD = LVCMOS33               ;   # FLASH_D8
64
NET FLASH_D[9]          LOC = R3    | IOSTANDARD = LVCMOS33               ;   # FLASH_D9
65
NET FLASH_D[10]         LOC = N11   | IOSTANDARD = LVCMOS33               ;   # FLASH_D10
66
NET FLASH_D[11]         LOC = N7    | IOSTANDARD = LVCMOS33               ;   # FLASH_D11
67
NET FLASH_D[12]         LOC = R5    | IOSTANDARD = LVCMOS33               ;   # FLASH_D12
68
NET FLASH_D[13]         LOC = T4    | IOSTANDARD = LVCMOS33               ;   # FLASH_D13
69
NET FLASH_D[14]         LOC = P6    | IOSTANDARD = LVCMOS33               ;   # FLASH_D14
70
NET FLASH_OEn           LOC = R15   | IOSTANDARD = LVCMOS33               ;   # FLASH_OE#
71
NET FLASH_RESETn        LOC = T10   | IOSTANDARD = LVCMOS33               ;   # FLASH_RESET#
72
NET FLASH_RY_BYn        LOC = A4    | IOSTANDARD = LVCMOS33               ;   # FLASH_RY/BY#
73
NET FLASH_WEn           LOC = N13   | IOSTANDARD = LVCMOS33               ;   # FLASH_WE#
74
 
75
# Serial flash
76
NET FPGA_MOSI           LOC = P10   | IOSTANDARD = LVCMOS33               ;   # NetR53_2
77
NET FPGA_SPI_SELn       LOC = T2    | IOSTANDARD = LVCMOS33               ;   # FPGA_SPI_SEL#
78
NET SF_HOLDn            LOC = P13   | IOSTANDARD = LVCMOS33               ;   # SF_HOLD#
79
NET SF_Wn               LOC = N12   | IOSTANDARD = LVCMOS33               ;   # SF_W#
80
NET SPI_CLK             LOC = R14   | IOSTANDARD = LVCMOS33               ;   # SPI_CLK
81
#NET FLASH_D00           LOC = T14   | IOSTANDARD = LVCMOS33               ;   # MISO, shared with parallel flash
82
 
83
# User I/O
84
NET FPGA_RESET          LOC = H4    | IOSTANDARD = LVCMOS33               ;   # FPGA_RESET
85
NET FPGA_PUSH_A         LOC = K3    | IOSTANDARD = LVCMOS33               ;   # FPGA_PUSH_A
86
NET FPGA_PUSH_B         LOC = H5    | IOSTANDARD = LVCMOS33               ;   # FPGA_PUSH_B
87
NET FPGA_PUSH_C         LOC = L3    | IOSTANDARD = LVCMOS33               ;   # FPGA_PUSH_C
88
NET LEDS[0]             LOC = D14   | IOSTANDARD = LVCMOS33               ;   # LED1
89
NET LEDS[1]             LOC = C16   | IOSTANDARD = LVCMOS33               ;   # LED2
90
NET LEDS[2]             LOC = C15   | IOSTANDARD = LVCMOS33               ;   # LED3
91
NET LEDS[3]             LOC = B15   | IOSTANDARD = LVCMOS33               ;   # LED4
92
 
93
# I2C
94
NET IIC_SCL             LOC = M14   | IOSTANDARD = LVCMOS33               ;   # IIC_SCL
95
NET IIC_SDA             LOC = M13   | IOSTANDARD = LVCMOS33               ;   # IIC_SDA
96
 
97
# PSoC
98
NET PSOC_P0_4           LOC = J1    | IOSTANDARD = LVCMOS33               ;   # PSOC_P0_4
99
NET PSOC_P2_1           LOC = F1    | IOSTANDARD = LVCMOS33               ;   # PSOC_P2_1
100
NET PSOC_P2_3           LOC = G2    | IOSTANDARD = LVCMOS33               ;   # PSOC_P2_3
101
NET PSOC_P2_5           LOC = H3    | IOSTANDARD = LVCMOS33               ;   # PSOC_P2_5
102
NET PSOC_P2_7           LOC = H1    | IOSTANDARD = LVCMOS33               ;   # PSOC_P2_7
103
NET PSOC_P4_6           LOC = J2    | IOSTANDARD = LVCMOS33               ;   # PSOC_P4_6
104
NET PSOC_P5_3           LOC = L2    | IOSTANDARD = LVCMOS33               ;   # PSOC_P5_3
105
NET PSOC_P5_4           LOC = M3    | IOSTANDARD = LVCMOS33               ;   # PSOC_P5_4
106
NET PSOC_P5_6           LOC = M4    | IOSTANDARD = LVCMOS33               ;   # PSOC_P5_6
107
NET PSOC_P5_7           LOC = L1    | IOSTANDARD = LVCMOS33               ;   # PSOC_P5_7
108
NET PSOC_P7_0           LOC = N3    | IOSTANDARD = LVCMOS33               ;   # PSOC_P7_0
109
NET PSOC_P7_7           LOC = K16   | IOSTANDARD = LVCMOS33               ;   # PSOC_P7_7
110
 
111
# UART
112
#   Net names UART_RXD and UART_TXD on the schematic are named in terms of the PSoC connection.
113
#   Net UART_RXD is an output from the FPGA and an input to the PSoC.  Connect to FPGA Tx.
114
#   Net UART_TXD is an input to the FPGA and an output from the PSoC.  Connect to FPGA Rxx.
115
NET UART_RXD            LOC = B3    | IOSTANDARD = LVCMOS33               ;   # UART_RXD
116
NET UART_TXD            LOC = A3    | IOSTANDARD = LVCMOS33               ;   # UART_TXD
117
 
118
# GPIO
119
#NET BANK0_IO01          LOC = A14   | IOSTANDARD = LVCMOS33               ;   # BANK0_IO1
120
#NET BANK0_IO02          LOC = C4    | IOSTANDARD = LVCMOS33               ;   # BANK0_IO2
121
#NET BANK0_IO03          LOC = A13   | IOSTANDARD = LVCMOS33               ;   # BANK0_IO3
122
#NET BANK0_IO04          LOC = B14   | IOSTANDARD = LVCMOS33               ;   # BANK0_IO4
123
#NET BANK0_IO05          LOC = C13   | IOSTANDARD = LVCMOS33               ;   # BANK0_IO5
124
#NET BANK0_IO06          LOC = D13   | IOSTANDARD = LVCMOS33               ;   # BANK0_IO6
125
#NET BANK0_IO07          LOC = A12   | IOSTANDARD = LVCMOS33               ;   # BANK0_IO7
126
#NET BANK0_IO08          LOC = C12   | IOSTANDARD = LVCMOS33               ;   # BANK0_IO8
127
#NET BANK0_IO09          LOC = B12   | IOSTANDARD = LVCMOS33               ;   # BANK0_IO9
128
#NET BANK0_IO10          LOC = D11   | IOSTANDARD = LVCMOS33               ;   # BANK0_IO10
129
#NET BANK0_IO11          LOC = A11   | IOSTANDARD = LVCMOS33               ;   # BANK0_IO11
130
#NET BANK0_IO12          LOC = C11   | IOSTANDARD = LVCMOS33               ;   # BANK0_IO12
131
#NET BANK0_IO13          LOC = A10   | IOSTANDARD = LVCMOS33               ;   # BANK0_IO13
132
#NET BANK0_IO14          LOC = D10   | IOSTANDARD = LVCMOS33               ;   # BANK0_IO14
133
#NET BANK0_IO15          LOC = A9    | IOSTANDARD = LVCMOS33               ;   # BANK0_IO15
134
#NET BANK0_IO16          LOC = E10   | IOSTANDARD = LVCMOS33               ;   # BANK0_IO16
135
#NET BANK0_IO17          LOC = C9    | IOSTANDARD = LVCMOS33               ;   # BANK0_IO17
136
#NET BANK0_IO18          LOC = D9    | IOSTANDARD = LVCMOS33               ;   # BANK0_IO18
137
#NET BANK0_IO19          LOC = A8    | IOSTANDARD = LVCMOS33               ;   # BANK0_IO19
138
#NET BANK0_IO20          LOC = C8    | IOSTANDARD = LVCMOS33               ;   # BANK0_IO20
139
#NET BANK0_IO21          LOC = B8    | IOSTANDARD = LVCMOS33               ;   # BANK0_IO21
140
#NET BANK0_IO22          LOC = E7    | IOSTANDARD = LVCMOS33               ;   # BANK0_IO22
141
#NET BANK0_IO23          LOC = A7    | IOSTANDARD = LVCMOS33               ;   # BANK0_IO23
142
#NET BANK0_IO24          LOC = D8    | IOSTANDARD = LVCMOS33               ;   # BANK0_IO24
143
#NET BANK0_IO25          LOC = C7    | IOSTANDARD = LVCMOS33               ;   # BANK0_IO25
144
#NET BANK0_IO26          LOC = D7    | IOSTANDARD = LVCMOS33               ;   # BANK0_IO26
145
#NET BANK0_IO27          LOC = A6    | IOSTANDARD = LVCMOS33               ;   # BANK0_IO27
146
#NET BANK0_IO28          LOC = C6    | IOSTANDARD = LVCMOS33               ;   # BANK0_IO28
147
#NET BANK0_IO29          LOC = B6    | IOSTANDARD = LVCMOS33               ;   # BANK0_IO29
148
#NET BANK0_IO30          LOC = C5    | IOSTANDARD = LVCMOS33               ;   # BANK0_IO30
149
#NET BANK0_IO31          LOC = A5    | IOSTANDARD = LVCMOS33               ;   # BANK0_IO31
150
#NET BANK0_IO32          LOC = B4    | IOSTANDARD = LVCMOS33               ;   # BANK0_IO32
151
#NET BANK1_IO01          LOC = E13   | IOSTANDARD = LVCMOS33               ;   # BANK1_IO1
152
#NET BANK3_IO01          LOC = D3    | IOSTANDARD = LVCMOS33               ;   # BANK3_IO1
153
#NET BANK3_IO02          LOC = D4    | IOSTANDARD = LVCMOS33               ;   # BANK3_IO2
154
 
155
# Digi Headers
156
NET DIGI1[0]             LOC = R1    | IOSTANDARD = LVCMOS33               ;   # DIGI1_0
157
NET DIGI1[1]             LOC = P2    | IOSTANDARD = LVCMOS33               ;   # DIGI1_1
158
NET DIGI1[2]             LOC = P1    | IOSTANDARD = LVCMOS33               ;   # DIGI1_2
159
NET DIGI1[3]             LOC = N2    | IOSTANDARD = LVCMOS33               ;   # DIGI1_3
160
NET DIGI2[0]             LOC = N1    | IOSTANDARD = LVCMOS33               ;   # DIGI2_0
161
NET DIGI2[1]             LOC = M1    | IOSTANDARD = LVCMOS33               ;   # DIGI2_1
162
NET DIGI2[2]             LOC = K1    | IOSTANDARD = LVCMOS33               ;   # DIGI2_2
163
NET DIGI2[3]             LOC = G1    | IOSTANDARD = LVCMOS33               ;   # DIGI2_3
164
 
165
# Miscellaneous
166
#NET AWAKE               LOC = T11   | IOSTANDARD = LVCMOS33               ;   # AWAKE
167
#NET DOUT                LOC = R11   | IOSTANDARD = LVCMOS33               ;   # DOUT
168
#NET FPGA_VS0            LOC = P5    | IOSTANDARD = LVCMOS33               ;   # FPGA_VS0
169
#NET FPGA_VS1            LOC = N6    | IOSTANDARD = LVCMOS33               ;   # FPGA_VS1
170
#NET FPGA_VS2            LOC = T3    | IOSTANDARD = LVCMOS33               ;   # FPGA_VS2
171
 
172
# Prohibit Special Pins
173
# CONFIG PROHIBIT = [pin]; # Reserved for [signal]
174
CONFIG PROHIBIT = T12                                                     ;   # FPGA_INIT_B
175
CONFIG PROHIBIT = D5                                                      ;   # FPGA_PUDC
176
CONFIG PROHIBIT = P4                                                      ;   # PSOC_FPGA_M0
177
CONFIG PROHIBIT = N4                                                      ;   # PSOC_FPGA_M1
178
CONFIG PROHIBIT = R2                                                      ;   # PSOC_FPGA_M2

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.