OpenCores
URL https://opencores.org/ocsvn/light52/light52/trunk

Subversion Repositories light52

[/] [light52/] [trunk/] [boards/] [terasic_de1/] [syn/] [light52_de1.qsf] - Blame information for rev 9

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 ja_rd
# -------------------------------------------------------------------------- #
2
#
3
# Copyright (C) 1991-2011 Altera Corporation
4
# Your use of Altera Corporation's design tools, logic functions
5
# and other software and tools, and its AMPP partner logic
6
# functions, and any output files from any of the foregoing
7
# (including device programming or simulation files), and any
8
# associated documentation or information are expressly subject
9
# to the terms and conditions of the Altera Program License
10
# Subscription Agreement, Altera MegaCore Function License
11
# Agreement, or other applicable license agreement, including,
12
# without limitation, that your use is for the sole purpose of
13
# programming logic devices manufactured by Altera and sold by
14
# Altera or its authorized distributors.  Please refer to the
15
# applicable agreement for further details.
16
#
17
# -------------------------------------------------------------------------- #
18
#
19
# Quartus II 32-bit
20
# Version 11.1 Build 259 01/25/2012 Service Pack 2 SJ Web Edition
21
# Date created = 09:57:29  November 23, 2012
22
#
23
# -------------------------------------------------------------------------- #
24
#
25
# Notes:
26
#
27
# 1) The default values for assignments are stored in the file:
28
#               light52_de1_assignment_defaults.qdf
29
#    If this file doesn't exist, see file:
30
#               assignment_defaults.qdf
31
#
32
# 2) Altera recommends that you do not modify this file. This
33
#    file is updated automatically by the Quartus II software
34
#    and any changes you make may be lost or overwritten.
35
#
36
# -------------------------------------------------------------------------- #
37
 
38
 
39
set_global_assignment -name FAMILY "Cyclone II"
40
set_global_assignment -name DEVICE EP2C20F484C7
41
set_global_assignment -name TOP_LEVEL_ENTITY c2sb_soc
42
set_global_assignment -name ORIGINAL_QUARTUS_VERSION "11.1 SP2"
43
set_global_assignment -name PROJECT_CREATION_TIME_DATE "09:57:29  NOVEMBER 23, 2012"
44
set_global_assignment -name LAST_QUARTUS_VERSION "11.1 SP2"
45
set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
46
set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
47
set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1
48
set_global_assignment -name USE_CONFIGURATION_DEVICE ON
49
set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "USE AS REGULAR IO"
50
set_global_assignment -name RESERVE_ASDO_AFTER_CONFIGURATION "USE AS REGULAR IO"
51 9 ja_rd
set_global_assignment -name PROJECT_OUTPUT_DIRECTORY ./output
52 5 ja_rd
set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW"
53
set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)"
54
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
55
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
56
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
57
set_location_assignment PIN_T21 -to buttons[3]
58
set_location_assignment PIN_T22 -to buttons[2]
59
set_location_assignment PIN_R21 -to buttons[1]
60
set_location_assignment PIN_R22 -to buttons[0]
61
set_location_assignment PIN_R13 -to flash_addr[21]
62
set_location_assignment PIN_U13 -to flash_addr[20]
63
set_location_assignment PIN_V14 -to flash_addr[19]
64
set_location_assignment PIN_U14 -to flash_addr[18]
65
set_location_assignment PIN_AA20 -to flash_addr[17]
66
set_location_assignment PIN_AB12 -to flash_addr[16]
67
set_location_assignment PIN_AA12 -to flash_addr[15]
68
set_location_assignment PIN_AB13 -to flash_addr[14]
69
set_location_assignment PIN_AA13 -to flash_addr[13]
70
set_location_assignment PIN_AB14 -to flash_addr[12]
71
set_location_assignment PIN_T12 -to flash_addr[11]
72
set_location_assignment PIN_R12 -to flash_addr[10]
73
set_location_assignment PIN_Y13 -to flash_addr[9]
74
set_location_assignment PIN_R14 -to flash_addr[8]
75
set_location_assignment PIN_W15 -to flash_addr[7]
76
set_location_assignment PIN_V15 -to flash_addr[6]
77
set_location_assignment PIN_U15 -to flash_addr[5]
78
set_location_assignment PIN_T15 -to flash_addr[4]
79
set_location_assignment PIN_R15 -to flash_addr[3]
80
set_location_assignment PIN_Y16 -to flash_addr[2]
81
set_location_assignment PIN_AA14 -to flash_addr[1]
82
set_location_assignment PIN_AB20 -to flash_addr[0]
83
set_location_assignment PIN_AA19 -to flash_data[7]
84
set_location_assignment PIN_AB19 -to flash_data[6]
85
set_location_assignment PIN_AA18 -to flash_data[5]
86
set_location_assignment PIN_AB18 -to flash_data[4]
87
set_location_assignment PIN_AA17 -to flash_data[3]
88
set_location_assignment PIN_AB17 -to flash_data[2]
89
set_location_assignment PIN_AA16 -to flash_data[1]
90
set_location_assignment PIN_AB16 -to flash_data[0]
91
set_location_assignment PIN_AA15 -to flash_oe_n
92
set_location_assignment PIN_W14 -to flash_reset_n
93
set_location_assignment PIN_Y14 -to flash_we_n
94
set_location_assignment PIN_Y21 -to green_leds[7]
95
set_location_assignment PIN_Y22 -to green_leds[6]
96
set_location_assignment PIN_W21 -to green_leds[5]
97
set_location_assignment PIN_W22 -to green_leds[4]
98
set_location_assignment PIN_V21 -to green_leds[3]
99
set_location_assignment PIN_V22 -to green_leds[2]
100
set_location_assignment PIN_U21 -to green_leds[1]
101
set_location_assignment PIN_U22 -to green_leds[0]
102
set_location_assignment PIN_J2 -to hex0[0]
103
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to hex0[0]
104
set_location_assignment PIN_J1 -to hex0[1]
105
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to hex0[1]
106
set_location_assignment PIN_H2 -to hex0[2]
107
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to hex0[2]
108
set_location_assignment PIN_H1 -to hex0[3]
109
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to hex0[3]
110
set_location_assignment PIN_F2 -to hex0[4]
111
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to hex0[4]
112
set_location_assignment PIN_F1 -to hex0[5]
113
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to hex0[5]
114
set_location_assignment PIN_E2 -to hex0[6]
115
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to hex0[6]
116
set_location_assignment PIN_E1 -to hex1[0]
117
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to hex1[0]
118
set_location_assignment PIN_H6 -to hex1[1]
119
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to hex1[1]
120
set_location_assignment PIN_H5 -to hex1[2]
121
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to hex1[2]
122
set_location_assignment PIN_H4 -to hex1[3]
123
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to hex1[3]
124
set_location_assignment PIN_G3 -to hex1[4]
125
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to hex1[4]
126
set_location_assignment PIN_D2 -to hex1[5]
127
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to hex1[5]
128
set_location_assignment PIN_D1 -to hex1[6]
129
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to hex1[6]
130
set_location_assignment PIN_G5 -to hex2[0]
131
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to hex2[0]
132
set_location_assignment PIN_G6 -to hex2[1]
133
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to hex2[1]
134
set_location_assignment PIN_C2 -to hex2[2]
135
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to hex2[2]
136
set_location_assignment PIN_C1 -to hex2[3]
137
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to hex2[3]
138
set_location_assignment PIN_E3 -to hex2[4]
139
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to hex2[4]
140
set_location_assignment PIN_E4 -to hex2[5]
141
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to hex2[5]
142
set_location_assignment PIN_D3 -to hex2[6]
143
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to hex2[6]
144
set_location_assignment PIN_F4 -to hex3[0]
145
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to hex3[0]
146
set_location_assignment PIN_D5 -to hex3[1]
147
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to hex3[1]
148
set_location_assignment PIN_D6 -to hex3[2]
149
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to hex3[2]
150
set_location_assignment PIN_J4 -to hex3[3]
151
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to hex3[3]
152
set_location_assignment PIN_L8 -to hex3[4]
153
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to hex3[4]
154
set_location_assignment PIN_F3 -to hex3[5]
155
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to hex3[5]
156
set_location_assignment PIN_D4 -to hex3[6]
157
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to hex3[6]
158
set_location_assignment PIN_R17 -to red_leds[9]
159
set_location_assignment PIN_R18 -to red_leds[8]
160
set_location_assignment PIN_U18 -to red_leds[7]
161
set_location_assignment PIN_Y18 -to red_leds[6]
162
set_location_assignment PIN_V19 -to red_leds[5]
163
set_location_assignment PIN_T18 -to red_leds[4]
164
set_location_assignment PIN_Y19 -to red_leds[3]
165
set_location_assignment PIN_U19 -to red_leds[2]
166
set_location_assignment PIN_R19 -to red_leds[1]
167
set_location_assignment PIN_R20 -to red_leds[0]
168
set_location_assignment PIN_F14 -to rxd
169
set_location_assignment PIN_V20 -to sd_clk
170
set_location_assignment PIN_Y20 -to sd_cmd
171
set_location_assignment PIN_U20 -to sd_cs
172
set_location_assignment PIN_W20 -to sd_data
173
set_location_assignment PIN_Y5 -to sram_addr[17]
174
set_location_assignment PIN_Y6 -to sram_addr[16]
175
set_location_assignment PIN_T7 -to sram_addr[15]
176
set_location_assignment PIN_R10 -to sram_addr[14]
177
set_location_assignment PIN_U10 -to sram_addr[13]
178
set_location_assignment PIN_Y10 -to sram_addr[12]
179
set_location_assignment PIN_T11 -to sram_addr[11]
180
set_location_assignment PIN_R11 -to sram_addr[10]
181
set_location_assignment PIN_W11 -to sram_addr[9]
182
set_location_assignment PIN_V11 -to sram_addr[8]
183
set_location_assignment PIN_AB11 -to sram_addr[7]
184
set_location_assignment PIN_AA11 -to sram_addr[6]
185
set_location_assignment PIN_AB10 -to sram_addr[5]
186
set_location_assignment PIN_AA5 -to sram_addr[4]
187
set_location_assignment PIN_AB4 -to sram_addr[3]
188
set_location_assignment PIN_AA4 -to sram_addr[2]
189
set_location_assignment PIN_AB3 -to sram_addr[1]
190
set_location_assignment PIN_AA3 -to sram_addr[0]
191
set_location_assignment PIN_AB5 -to sram_ce_n
192
set_location_assignment PIN_U8 -to sram_data[15]
193
set_location_assignment PIN_V8 -to sram_data[14]
194
set_location_assignment PIN_W8 -to sram_data[13]
195
set_location_assignment PIN_R9 -to sram_data[12]
196
set_location_assignment PIN_U9 -to sram_data[11]
197
set_location_assignment PIN_V9 -to sram_data[10]
198
set_location_assignment PIN_W9 -to sram_data[9]
199
set_location_assignment PIN_Y9 -to sram_data[8]
200
set_location_assignment PIN_AB9 -to sram_data[7]
201
set_location_assignment PIN_AA9 -to sram_data[6]
202
set_location_assignment PIN_AB8 -to sram_data[5]
203
set_location_assignment PIN_AA8 -to sram_data[4]
204
set_location_assignment PIN_AB7 -to sram_data[3]
205
set_location_assignment PIN_AA7 -to sram_data[2]
206
set_location_assignment PIN_AB6 -to sram_data[1]
207
set_location_assignment PIN_AA6 -to sram_data[0]
208
set_location_assignment PIN_Y7 -to sram_lb_n
209
set_location_assignment PIN_T8 -to sram_oe_n
210
set_location_assignment PIN_W7 -to sram_ub_n
211
set_location_assignment PIN_AA10 -to sram_we_n
212
set_location_assignment PIN_L2 -to switches[9]
213
set_location_assignment PIN_M1 -to switches[8]
214
set_location_assignment PIN_M2 -to switches[7]
215
set_location_assignment PIN_U11 -to switches[6]
216
set_location_assignment PIN_U12 -to switches[5]
217
set_location_assignment PIN_W12 -to switches[4]
218
set_location_assignment PIN_V12 -to switches[3]
219
set_location_assignment PIN_M22 -to switches[2]
220
set_location_assignment PIN_L21 -to switches[1]
221
set_location_assignment PIN_L22 -to switches[0]
222
set_location_assignment PIN_G12 -to txd
223
set_location_assignment PIN_L1 -to clk_50MHz
224 9 ja_rd
set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS ON
225
set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL OFF
226
set_global_assignment -name VHDL_FILE ../../../test/dhrystone/obj_code_pkg.vhdl
227 5 ja_rd
set_global_assignment -name SDC_FILE light52_de1.sdc
228
set_global_assignment -name VHDL_FILE ../vhdl/c2sb_soc.vhdl
229
set_global_assignment -name VHDL_FILE ../../../vhdl/light52_ucode_pkg.vhdl
230
set_global_assignment -name VHDL_FILE ../../../vhdl/light52_uart.vhdl
231
set_global_assignment -name VHDL_FILE ../../../vhdl/light52_timer.vhdl
232
set_global_assignment -name VHDL_FILE ../../../vhdl/light52_pkg.vhdl
233
set_global_assignment -name VHDL_FILE ../../../vhdl/light52_muldiv.vhdl
234
set_global_assignment -name VHDL_FILE ../../../vhdl/light52_mcu.vhdl
235
set_global_assignment -name VHDL_FILE ../../../vhdl/light52_cpu.vhdl
236
set_global_assignment -name VHDL_FILE ../../../vhdl/light52_alu.vhdl
237
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.