OpenCores
URL https://opencores.org/ocsvn/light52/light52/trunk

Subversion Repositories light52

[/] [light52/] [trunk/] [sim/] [light52_c2sb_tb_wave.do] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 ja_rd
onerror {resume}
2
quietly WaveActivateNextPane {} 0
3
add wave -noupdate -format Logic /c2sb_soc_tb/clk
4
add wave -noupdate -format Logic /c2sb_soc_tb/uut/mcu/cpu/reset
5
add wave -noupdate -divider CPU
6
add wave -noupdate -color Gray75 -format Literal -radix hexadecimal /c2sb_soc_tb/uut/mcu/cpu/pc_reg
7
add wave -noupdate -color Gray90 -format Literal -radix hexadecimal /c2sb_soc_tb/uut/mcu/code_addr
8
add wave -noupdate -color {Lime Green} -format Literal -radix hexadecimal /c2sb_soc_tb/uut/mcu/code_rd
9
add wave -noupdate -color {Cornflower Blue} -format Literal -radix hexadecimal /c2sb_soc_tb/uut/mcu/cpu/a_reg
10
add wave -noupdate -divider Debug
11
add wave -noupdate -format Logic /c2sb_soc_tb/log_info.bram_we
12
add wave -noupdate -format Literal -radix hexadecimal /c2sb_soc_tb/log_info.bram_wr_addr
13
add wave -noupdate -format Literal -radix hexadecimal /c2sb_soc_tb/log_info.bram_wr_data_p0
14
add wave -noupdate -format Literal -radix hexadecimal /c2sb_soc_tb/uut/mcu/cpu/addr0_reg
15
add wave -noupdate -format Literal -radix hexadecimal /c2sb_soc_tb/uut/mcu/cpu/addr1_reg
16
add wave -noupdate -format Literal -radix hexadecimal /c2sb_soc_tb/uut/mcu/cpu/jump_target
17
add wave -noupdate -divider Peripherals
18
add wave -noupdate -group {External Interrupts}
19
add wave -noupdate -group {External Interrupts} -format Literal /c2sb_soc_tb/uut/mcu/external_irq
20
add wave -noupdate -group {External Interrupts} -format Logic /c2sb_soc_tb/uut/mcu/ext_irq
21
add wave -noupdate -group {External Interrupts} -format Literal /c2sb_soc_tb/uut/mcu/external_irq_reg
22
add wave -noupdate -group Timer
23
add wave -noupdate -group Timer -format Logic /c2sb_soc_tb/uut/mcu/timer/ce_i
24
add wave -noupdate -group Timer -format Literal /c2sb_soc_tb/uut/mcu/timer/addr_i
25
add wave -noupdate -group Timer -format Logic /c2sb_soc_tb/uut/mcu/timer/wr_i
26
add wave -noupdate -group Timer -format Literal -radix hexadecimal /c2sb_soc_tb/uut/mcu/timer/data_i
27
add wave -noupdate -group Timer -format Literal -radix hexadecimal /c2sb_soc_tb/uut/mcu/timer/compare_reg
28
add wave -noupdate -group Timer -format Literal -radix hexadecimal /c2sb_soc_tb/uut/mcu/timer/counter_reg
29
add wave -noupdate -group Timer -format Logic /c2sb_soc_tb/uut/mcu/timer/counter_match
30
add wave -noupdate -group Timer -format Literal /c2sb_soc_tb/uut/mcu/timer/status_reg
31
add wave -noupdate -group Timer -format Logic /c2sb_soc_tb/uut/mcu/timer/irq_o
32
add wave -noupdate -divider Internal
33
add wave -noupdate -color Pink -format Literal /c2sb_soc_tb/uut/mcu/cpu/ps
34
add wave -noupdate -group Interrupts
35
add wave -noupdate -group Interrupts -color {Orange Red} -format Literal -radix hexadecimal /c2sb_soc_tb/uut/mcu/cpu/ie_reg
36
add wave -noupdate -group Datapath
37
add wave -noupdate -group Datapath -group MUL-DIV
38
add wave -noupdate -group MUL-DIV -color {Violet Red} -format Literal -radix hexadecimal /c2sb_soc_tb/uut/mcu/cpu/alu/b_reg
39
add wave -noupdate -group MUL-DIV -format Literal -radix hexadecimal /c2sb_soc_tb/uut/mcu/cpu/alu/quotient
40
add wave -noupdate -group MUL-DIV -format Logic /c2sb_soc_tb/uut/mcu/cpu/alu/div_ready
41
add wave -noupdate -group MUL-DIV -format Logic /c2sb_soc_tb/uut/mcu/cpu/load_b_sfr
42
add wave -noupdate -group MUL-DIV -format Literal -radix hexadecimal /c2sb_soc_tb/uut/mcu/cpu/alu/remainder
43
add wave -noupdate -group MUL-DIV -format Literal /c2sb_soc_tb/uut/mcu/cpu/alu/muldiv/bit_ctr
44
add wave -noupdate -group Datapath -group {Int. results}
45
add wave -noupdate -group {Int. results} -format Literal -radix hexadecimal /c2sb_soc_tb/uut/mcu/cpu/alu/alu_adder_result
46
add wave -noupdate -group {Int. results} -format Literal /c2sb_soc_tb/uut/mcu/cpu/alu/alu_logic_result
47
add wave -noupdate -group Datapath -group Inputs
48
add wave -noupdate -group Inputs -color {Cornflower Blue} -format Literal -radix hexadecimal /c2sb_soc_tb/uut/mcu/cpu/alu/t_reg
49
add wave -noupdate -group Inputs -color {Sky Blue} -format Literal -radix hexadecimal /c2sb_soc_tb/uut/mcu/cpu/alu/v_reg
50
add wave -noupdate -group Inputs -format Literal /c2sb_soc_tb/uut/mcu/cpu/alu/load_t
51
add wave -noupdate -group Inputs -format Logic /c2sb_soc_tb/uut/mcu/cpu/alu/load_v
52
add wave -noupdate -group Inputs -format Literal /c2sb_soc_tb/uut/mcu/cpu/alu/alu_op_sel
53
add wave -noupdate -group Inputs -color Sienna -format Literal -radix hexadecimal /c2sb_soc_tb/uut/mcu/cpu/alu/adder_op_0
54
add wave -noupdate -group Inputs -color {Indian Red} -format Literal -radix hexadecimal /c2sb_soc_tb/uut/mcu/cpu/alu/adder_op_1
55
add wave -noupdate -group Datapath -group Flags
56
add wave -noupdate -group Flags -format Logic /c2sb_soc_tb/uut/mcu/cpu/alu_p
57
add wave -noupdate -group Flags -format Logic /c2sb_soc_tb/uut/mcu/cpu/acc_is_zero
58
add wave -noupdate -group Flags -format Logic /c2sb_soc_tb/uut/mcu/cpu/alu_result_is_zero
59
add wave -noupdate -group Flags -format Logic /c2sb_soc_tb/uut/mcu/cpu/update_psw_flags
60
add wave -noupdate -group Flags -format Logic /c2sb_soc_tb/uut/mcu/cpu/psw_reg(7)
61
add wave -noupdate -group Flags -format Literal /c2sb_soc_tb/uut/mcu/cpu/psw_reg
62
add wave -noupdate -group Flags -format Logic /c2sb_soc_tb/uut/mcu/cpu/alu_ov
63
add wave -noupdate -group Flags -format Logic /c2sb_soc_tb/uut/mcu/cpu/alu/adder_cy_in
64
add wave -noupdate -group Flags -format Logic /c2sb_soc_tb/uut/mcu/cpu/alu_cy
65
add wave -noupdate -group Datapath -color Goldenrod -format Literal -radix hexadecimal /c2sb_soc_tb/uut/mcu/cpu/alu_result
66
add wave -noupdate -group Datapath -color Wheat -format Literal -radix hexadecimal /c2sb_soc_tb/uut/mcu/cpu/nobit_alu_result
67
add wave -noupdate -group Datapath -color Gold -format Literal /c2sb_soc_tb/uut/mcu/cpu/alu_fn_reg
68
add wave -noupdate -group Datapath -format Logic /c2sb_soc_tb/uut/mcu/cpu/alu/div_ready
69
add wave -noupdate -group Datapath -format Logic /c2sb_soc_tb/uut/mcu/cpu/alu/mul_ready
70
add wave -noupdate -group Decoding
71
add wave -noupdate -group Decoding -format Literal -radix hexadecimal /c2sb_soc_tb/uut/mcu/cpu/bram_addr_p0
72
add wave -noupdate -group Decoding -format Literal -radix hexadecimal /c2sb_soc_tb/uut/mcu/cpu/ucode_index
73
add wave -noupdate -group Decoding -format Literal /c2sb_soc_tb/uut/mcu/cpu/uc_class_decode_0
74
add wave -noupdate -group Decoding -format Literal /c2sb_soc_tb/uut/mcu/cpu/uc_alu_class_reg
75
add wave -noupdate -group Decoding -format Literal /c2sb_soc_tb/uut/mcu/cpu/uc_alu_class_decode_0
76
add wave -noupdate -group Decoding -format Literal /c2sb_soc_tb/uut/mcu/cpu/ucode
77
add wave -noupdate -group Decoding -format Literal /c2sb_soc_tb/uut/mcu/cpu/ucode_1st_half
78
add wave -noupdate -group Decoding -format Logic /c2sb_soc_tb/uut/mcu/cpu/ucode_is_2nd_half
79
add wave -noupdate -group Decoding -format Literal /c2sb_soc_tb/uut/mcu/cpu/ucode_2nd_half_reg
80
add wave -noupdate -group Decoding -format Logic /c2sb_soc_tb/uut/mcu/cpu/code_rd(3)
81
add wave -noupdate -group XDATA
82
add wave -noupdate -group XDATA -color {Medium Sea Green} -format Logic /c2sb_soc_tb/uut/mcu/xdata_vma
83
add wave -noupdate -group XDATA -color {Sky Blue} -format Logic /c2sb_soc_tb/uut/mcu/xdata_we
84
add wave -noupdate -group XDATA -color Wheat -format Literal -radix hexadecimal /c2sb_soc_tb/uut/mcu/xdata_addr
85
add wave -noupdate -group XDATA -format Literal -radix hexadecimal /c2sb_soc_tb/uut/mcu/xdata_rd
86
add wave -noupdate -group XDATA -color Thistle -format Literal -radix hexadecimal /c2sb_soc_tb/uut/mcu/xdata_wr
87
add wave -noupdate -group {IRAM - SFR}
88
add wave -noupdate -group {IRAM - SFR} -format Logic /c2sb_soc_tb/uut/mcu/cpu/sfr_addressing
89
add wave -noupdate -group {IRAM - SFR} -format Logic /c2sb_soc_tb/uut/mcu/cpu/direct_addressing
90
add wave -noupdate -group {IRAM - SFR} -format Literal /c2sb_soc_tb/uut/mcu/cpu/alu/load_t
91
add wave -noupdate -group {IRAM - SFR} -format Logic /c2sb_soc_tb/uut/mcu/cpu/sfr_vma_internal
92
add wave -noupdate -group {IRAM - SFR} -format Literal -radix hexadecimal /c2sb_soc_tb/uut/mcu/cpu/sfr_rd_internal_reg
93
add wave -noupdate -group {IRAM - SFR} -format Literal -radix hexadecimal /c2sb_soc_tb/uut/mcu/cpu/sfr_addr_internal
94
add wave -noupdate -group {IRAM - SFR} -format Literal -radix hexadecimal /c2sb_soc_tb/uut/mcu/cpu/addr0_reg_input
95
add wave -noupdate -group {IRAM - SFR} -format Logic /c2sb_soc_tb/uut/mcu/cpu/load_addr0
96
add wave -noupdate -group {IRAM - SFR} -format Literal -radix hexadecimal /c2sb_soc_tb/uut/mcu/cpu/ri_addr
97
add wave -noupdate -group {IRAM - SFR} -format Literal -radix hexadecimal /c2sb_soc_tb/uut/mcu/cpu/rn_addr
98
add wave -noupdate -group {IRAM - SFR} -format Literal -radix hexadecimal /c2sb_soc_tb/uut/mcu/cpu/rx_addr
99
add wave -noupdate -group {IRAM - SFR} -color Plum -format Literal -radix hexadecimal /c2sb_soc_tb/uut/mcu/cpu/iram_sfr_addr
100
add wave -noupdate -group {IRAM - SFR} -color Magenta -format Literal -radix hexadecimal /c2sb_soc_tb/uut/mcu/cpu/iram_sfr_rd
101
add wave -noupdate -group {IRAM - SFR} -color {Indian Red} -format Literal -radix hexadecimal /c2sb_soc_tb/uut/mcu/cpu/bram_data_p0
102
add wave -noupdate -group {IRAM - SFR} -color Tan -format Literal -radix hexadecimal /c2sb_soc_tb/uut/mcu/cpu/bram_addr_p0
103
add wave -noupdate -group {IRAM - SFR} -color Khaki -format Literal -radix hexadecimal /c2sb_soc_tb/uut/mcu/cpu/bram_wr_data_p0
104
add wave -noupdate -group {IRAM - SFR} -color Gold -format Logic /c2sb_soc_tb/uut/mcu/cpu/bram_we
105
add wave -noupdate -group {IRAM - SFR} -color Orange -format Logic /c2sb_soc_tb/uut/mcu/cpu/sfr_we
106
add wave -noupdate -group {IRAM - SFR} -color {Cornflower Blue} -format Literal -radix hexadecimal /c2sb_soc_tb/uut/mcu/cpu/sp_reg
107
add wave -noupdate -group {IRAM - SFR} -format Literal -radix hexadecimal /c2sb_soc_tb/uut/mcu/cpu/iram_sfr_addr
108
add wave -noupdate -group {IRAM - SFR} -format Logic /c2sb_soc_tb/uut/mcu/cpu/iram_sfr_addr(7)
109
add wave -noupdate -group {IRAM - SFR} -format Logic /c2sb_soc_tb/uut/mcu/cpu/direct_addressing
110
add wave -noupdate -group Jumps
111
add wave -noupdate -group Jumps -color Salmon -format Logic /c2sb_soc_tb/uut/mcu/cpu/jump_condition
112
add wave -noupdate -group Jumps -format Logic /c2sb_soc_tb/uut/mcu/cpu/load_addr0
113
add wave -noupdate -group Jumps -format Logic /c2sb_soc_tb/uut/mcu/cpu/cjne_condition
114
add wave -noupdate -group Jumps -format Literal /c2sb_soc_tb/uut/mcu/cpu/jump_cond_sel_reg
115
add wave -noupdate -group Jumps -format Literal -radix hexadecimal /c2sb_soc_tb/uut/mcu/cpu/rel_jump_delta
116
add wave -noupdate -group Jumps -format Literal -radix hexadecimal /c2sb_soc_tb/uut/mcu/cpu/rel_jump_target
117
add wave -noupdate -group Jumps -format Literal -radix hexadecimal /c2sb_soc_tb/uut/mcu/cpu/jump_target
118
add wave -noupdate -group Jumps -color White -format Literal -radix hexadecimal /c2sb_soc_tb/uut/mcu/cpu/addr1_reg
119
add wave -noupdate -group Jumps -color Gray65 -format Literal -radix hexadecimal /c2sb_soc_tb/uut/mcu/cpu/addr0_reg
120
add wave -noupdate -group {Bit Ops}
121
add wave -noupdate -group {Bit Ops} -format Literal /c2sb_soc_tb/uut/mcu/cpu/alu/alu_bit_fn_reg
122
add wave -noupdate -group {Bit Ops} -format Logic /c2sb_soc_tb/uut/mcu/cpu/update_psw_flags
123
add wave -noupdate -group {Bit Ops} -color {Sky Blue} -format Logic /c2sb_soc_tb/uut/mcu/cpu/alu/alu_bit_result
124
add wave -noupdate -group {Bit Ops} -color Wheat -format Logic /c2sb_soc_tb/uut/mcu/cpu/bit_input
125
add wave -noupdate -group {Bit Ops} -color Tan -format Logic /c2sb_soc_tb/uut/mcu/cpu/psw_reg(7)
126
add wave -noupdate -group SFR
127
add wave -noupdate -group SFR -color Magenta -format Literal -radix hexadecimal /c2sb_soc_tb/uut/mcu/cpu/sfr_addr
128
add wave -noupdate -group SFR -format Logic /c2sb_soc_tb/uut/mcu/cpu/sfr_vma
129
add wave -noupdate -group SFR -format Logic /c2sb_soc_tb/uut/mcu/cpu/sfr_we
130
add wave -noupdate -group SFR -color Orchid -format Literal -radix hexadecimal /c2sb_soc_tb/uut/mcu/cpu/sfr_wr
131
add wave -noupdate -group SFR -color {Sky Blue} -format Literal -radix hexadecimal /c2sb_soc_tb/uut/mcu/cpu/sfr_rd
132
add wave -noupdate -divider {To be removed}
133
add wave -noupdate -group {Register Bank}
134
add wave -noupdate -group {Register Bank} -format Logic /c2sb_soc_tb/uut/mcu/cpu/bram_we
135
add wave -noupdate -group {Register Bank} -color Wheat -format Literal -label R0 -radix hexadecimal /c2sb_soc_tb/uut/mcu/cpu/bram(256)
136
add wave -noupdate -group {Register Bank} -color Wheat -format Literal -label R1 -radix hexadecimal /c2sb_soc_tb/uut/mcu/cpu/bram(257)
137
add wave -noupdate -group {Register Bank} -color {Indian Red} -format Literal -label R2 -radix hexadecimal /c2sb_soc_tb/uut/mcu/cpu/bram(258)
138
add wave -noupdate -group {Register Bank} -color {Indian Red} -format Literal -label R3 -radix hexadecimal /c2sb_soc_tb/uut/mcu/cpu/bram(259)
139
add wave -noupdate -group {Register Bank} -color {Indian Red} -format Literal -label R4 -radix hexadecimal /c2sb_soc_tb/uut/mcu/cpu/bram(260)
140
add wave -noupdate -group {Register Bank} -color {Indian Red} -format Literal -label R5 -radix hexadecimal /c2sb_soc_tb/uut/mcu/cpu/bram(261)
141
add wave -noupdate -group {Register Bank} -color {Indian Red} -format Literal -label R6 -radix hexadecimal /c2sb_soc_tb/uut/mcu/cpu/bram(262)
142
add wave -noupdate -group {Register Bank} -color {Indian Red} -format Literal -label R7 -radix hexadecimal /c2sb_soc_tb/uut/mcu/cpu/bram(263)
143
add wave -noupdate -color White -format Literal -label CONSOLE /c2sb_soc_tb/log_info.con_line_buf
144
TreeUpdate [SetDefaultTree]
145
WaveRestoreCursors {{Cursor 1} {68850000 ps} 0} {{Cursor 2} {632590000 ps} 0}
146
configure wave -namecolwidth 183
147
configure wave -valuecolwidth 50
148
configure wave -justifyvalue left
149
configure wave -signalnamewidth 0
150
configure wave -snapdistance 10
151
configure wave -datasetprefix 0
152
configure wave -rowmargin 4
153
configure wave -childrowmargin 2
154
configure wave -gridoffset 0
155
configure wave -gridperiod 1
156
configure wave -griddelta 40
157
configure wave -timeline 0
158
update
159
WaveRestoreZoom {632455977 ps} {632967861 ps}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.