OpenCores
URL https://opencores.org/ocsvn/light52/light52/trunk

Subversion Repositories light52

[/] [light52/] [trunk/] [test/] [blinker/] [makefile] - Blame information for rev 6

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 6 ja_rd
#-- Target 'all' will build an object code VHDL package ready for synthesis ----
2
 
3
#-- Project configuration ------------------------------------------------------
4
 
5
# Directories
6
BINDIR = bin
7
OBJDIR = obj
8
SRCDIR = src
9
BRPATH = ../../tools/build_rom
10
VHDL_TB_PATH = .
11
COMDIR = ../common
12
 
13
# The parameters we set here will end in the object code VHDL package and will
14
# be passed to the MCU core as generics.
15
 
16
PROJ_NAME = "Blinker"
17
# XROM size in bytes.
18
XCODE_SIZE = 4096
19
# XRAM size in bytes.
20
XDATA_SIZE = 0
21
 
22
 
23
# Source files
24
SRC :=  $(SRCDIR)/blinker.c \
25
        $(COMDIR)/soc.c
26
# Final executable HEX file
27
BIN :=  blinker.ihx
28
 
29
# Toolchain flags
30
LFLAGS = -o $(OBJDIR)/ --code-size $(XCODE_SIZE) --xram-size $(XDATA_SIZE)
31
CFLAGS = -o $(OBJDIR)/ -D__LIGHT52__=1
32
 
33
 
34
# Include the main makefile body with all the rules.
35
include ../include/common.mk

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.