OpenCores
URL https://opencores.org/ocsvn/light52/light52/trunk

Subversion Repositories light52

[/] [light52/] [trunk/] [test/] [blinker/] [obj_code_pkg.vhdl] - Blame information for rev 18

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 6 ja_rd
--------------------------------------------------------------------------------
2
-- obj_code_pkg.vhdl -- Application object code in vhdl constant string format.
3
--------------------------------------------------------------------------------
4
-- Written by build_rom.py for project 'Blinker'.
5
--------------------------------------------------------------------------------
6
-- Copyright (C) 2012 Jose A. Ruiz
7
--
8
-- This source file may be used and distributed without
9
-- restriction provided that this copyright statement is not
10
-- removed from the file and that any derivative work contains
11
-- the original copyright notice and the associated disclaimer.
12
--
13
-- This source file is free software; you can redistribute it
14
-- and/or modify it under the terms of the GNU Lesser General
15
-- Public License as published by the Free Software Foundation;
16
-- either version 2.1 of the License, or (at your option) any
17
-- later version.
18
--
19
-- This source is distributed in the hope that it will be
20
-- useful, but WITHOUT ANY WARRANTY; without even the implied
21
-- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR
22
-- PURPOSE.  See the GNU Lesser General Public License for more
23
-- details.
24
--
25
-- You should have received a copy of the GNU Lesser General
26
-- Public License along with this source; if not, download it
27
-- from http://www.opencores.org/lgpl.shtml
28
--------------------------------------------------------------------------------
29
 
30
library ieee;
31
use ieee.std_logic_1164.all;
32
use ieee.numeric_std.all;
33
use work.light52_pkg.all;
34
 
35
package obj_code_pkg is
36
 
37
-- Size of XCODE memory in bytes.
38
constant XCODE_SIZE : natural := 4096;
39
-- Size of XDATA memory in bytes.
40
constant XDATA_SIZE : natural := 0;
41
 
42
-- Object code initialization constant.
43
constant object_code : t_obj_code(0 to 2398) := (
44
    X"02", X"00", X"13", X"32", X"00", X"00", X"00", X"00",
45
    X"00", X"00", X"00", X"02", X"01", X"c9", X"12", X"00",
46
    X"72", X"80", X"fe", X"75", X"81", X"3b", X"12", X"09",
47
    X"17", X"e5", X"82", X"60", X"03", X"02", X"00", X"0e",
48
    X"79", X"00", X"e9", X"44", X"00", X"60", X"1b", X"7a",
49
    X"00", X"90", X"09", X"5f", X"78", X"00", X"75", X"a0",
50
    X"00", X"e4", X"93", X"f2", X"a3", X"08", X"b8", X"00",
51
    X"02", X"05", X"a0", X"d9", X"f4", X"da", X"f2", X"75",
52
    X"a0", X"ff", X"e4", X"78", X"ff", X"f6", X"d8", X"fd",
53
    X"78", X"00", X"e8", X"44", X"00", X"60", X"0a", X"79",
54
    X"00", X"75", X"a0", X"00", X"e4", X"f3", X"09", X"d8",
55
    X"fc", X"78", X"00", X"e8", X"44", X"00", X"60", X"0c",
56
    X"79", X"00", X"90", X"00", X"00", X"e4", X"f0", X"a3",
57
    X"d8", X"fc", X"d9", X"fa", X"75", X"0c", X"00", X"02",
58
    X"00", X"0e", X"12", X"00", X"ea", X"74", X"1b", X"c0",
59
    X"e0", X"74", X"09", X"c0", X"e0", X"74", X"80", X"c0",
60
    X"e0", X"12", X"02", X"f6", X"15", X"81", X"15", X"81",
61
    X"15", X"81", X"74", X"1e", X"c0", X"e0", X"74", X"09",
62
    X"c0", X"e0", X"74", X"80", X"c0", X"e0", X"12", X"02",
63
    X"f6", X"15", X"81", X"15", X"81", X"15", X"81", X"74",
64
    X"40", X"c0", X"e0", X"74", X"09", X"c0", X"e0", X"74",
65
    X"80", X"c0", X"e0", X"12", X"02", X"f6", X"15", X"81",
66
    X"15", X"81", X"15", X"81", X"12", X"01", X"0b", X"ac",
67
    X"82", X"ad", X"83", X"ae", X"f0", X"ff", X"75", X"0f",
68
    X"e8", X"75", X"10", X"03", X"e4", X"f5", X"11", X"f5",
69
    X"12", X"8c", X"82", X"8d", X"83", X"8e", X"f0", X"ef",
70
    X"12", X"01", X"ff", X"ac", X"82", X"ad", X"83", X"ae",
71
    X"f0", X"ff", X"8c", X"00", X"79", X"00", X"7a", X"00",
72
    X"7b", X"00", X"88", X"90", X"8d", X"04", X"8c", X"80",
73
    X"80", X"ca", X"e4", X"f5", X"08", X"f5", X"09", X"f5",
74
    X"0a", X"f5", X"0b", X"90", X"c3", X"50", X"12", X"01",
75
    X"77", X"75", X"82", X"01", X"12", X"01", X"c1", X"75",
76
    X"82", X"01", X"12", X"01", X"6f", X"75", X"82", X"01",
77
    X"02", X"01", X"92", X"12", X"01", X"9a", X"ae", X"82",
78
    X"af", X"83", X"7d", X"00", X"7c", X"00", X"75", X"0f",
79
    X"32", X"e4", X"f5", X"10", X"f5", X"11", X"f5", X"12",
80
    X"8e", X"82", X"8f", X"83", X"8d", X"f0", X"ec", X"12",
81
    X"01", X"ff", X"ae", X"82", X"af", X"83", X"ad", X"f0",
82
    X"fc", X"85", X"08", X"0f", X"85", X"09", X"10", X"85",
83
    X"0a", X"11", X"85", X"0b", X"12", X"90", X"03", X"e8",
84
    X"e4", X"f5", X"f0", X"c0", X"07", X"c0", X"06", X"c0",
85
    X"05", X"c0", X"04", X"12", X"02", X"64", X"a8", X"82",
86
    X"a9", X"83", X"aa", X"f0", X"fb", X"d0", X"04", X"d0",
87
    X"05", X"d0", X"06", X"d0", X"07", X"e8", X"2e", X"fe",
88
    X"e9", X"3f", X"ff", X"ea", X"3d", X"fd", X"eb", X"3c",
89
    X"8e", X"82", X"8f", X"83", X"8d", X"f0", X"22", X"e5",
90
    X"82", X"13", X"92", X"00", X"92", X"af", X"22", X"ae",
91
    X"82", X"af", X"83", X"be", X"ff", X"05", X"bf", X"ff",
92
    X"02", X"80", X"0a", X"8f", X"8f", X"7f", X"00", X"8e",
93
    X"8e", X"d2", X"8c", X"80", X"02", X"c2", X"8c", X"d2",
94
    X"88", X"22", X"e5", X"82", X"13", X"92", X"01", X"92",
95
    X"8d", X"22", X"85", X"8d", X"0f", X"85", X"8c", X"10",
96
    X"85", X"8c", X"11", X"e5", X"11", X"b5", X"10", X"02",
97
    X"80", X"06", X"85", X"8d", X"0f", X"85", X"8c", X"10",
98
    X"af", X"0f", X"7e", X"00", X"ac", X"10", X"7d", X"00",
99
    X"ec", X"4e", X"f5", X"82", X"ed", X"4f", X"f5", X"83",
100
    X"22", X"e5", X"82", X"13", X"92", X"02", X"92", X"a9",
101
    X"22", X"c0", X"e0", X"c0", X"d0", X"d2", X"88", X"85",
102
    X"0c", X"90", X"05", X"0c", X"74", X"01", X"25", X"08",
103
    X"f5", X"08", X"e4", X"35", X"09", X"f5", X"09", X"e4",
104
    X"35", X"0a", X"f5", X"0a", X"e4", X"35", X"0b", X"f5",
105
    X"0b", X"d0", X"d0", X"d0", X"e0", X"32", X"af", X"82",
106
    X"30", X"9c", X"fd", X"8f", X"99", X"bf", X"0a", X"06",
107
    X"30", X"9c", X"fd", X"75", X"99", X"0d", X"22", X"fb",
108
    X"7a", X"20", X"e4", X"fc", X"fd", X"fe", X"ff", X"e5",
109
    X"82", X"25", X"82", X"f5", X"82", X"e5", X"83", X"33",
110
    X"f5", X"83", X"e5", X"f0", X"33", X"f5", X"f0", X"eb",
111
    X"33", X"fb", X"40", X"17", X"da", X"e9", X"80", X"42",
112
    X"e5", X"82", X"25", X"82", X"f5", X"82", X"e5", X"83",
113
    X"33", X"f5", X"83", X"e5", X"f0", X"33", X"f5", X"f0",
114
    X"eb", X"33", X"fb", X"ec", X"33", X"fc", X"ed", X"33",
115
    X"fd", X"ee", X"33", X"fe", X"ef", X"33", X"ff", X"ec",
116
    X"95", X"0f", X"ed", X"95", X"10", X"ee", X"95", X"11",
117
    X"ef", X"95", X"12", X"40", X"13", X"ec", X"95", X"0f",
118
    X"fc", X"ed", X"95", X"10", X"fd", X"ee", X"95", X"11",
119
    X"fe", X"ef", X"95", X"12", X"ff", X"43", X"82", X"01",
120
    X"da", X"be", X"eb", X"22", X"aa", X"f0", X"fb", X"e5",
121
    X"82", X"85", X"0f", X"f0", X"a4", X"fc", X"ad", X"f0",
122
    X"e5", X"83", X"85", X"0f", X"f0", X"a4", X"2d", X"fd",
123
    X"e4", X"35", X"f0", X"fe", X"e5", X"82", X"85", X"10",
124
    X"f0", X"a4", X"2d", X"fd", X"e5", X"f0", X"3e", X"fe",
125
    X"e4", X"33", X"ff", X"ea", X"85", X"0f", X"f0", X"a4",
126
    X"2e", X"fe", X"e5", X"f0", X"3f", X"ff", X"e5", X"83",
127
    X"85", X"10", X"f0", X"a4", X"2e", X"fe", X"e5", X"f0",
128
    X"3f", X"ff", X"e5", X"82", X"85", X"11", X"f0", X"a4",
129
    X"2e", X"fe", X"e5", X"f0", X"3f", X"ff", X"eb", X"85",
130
    X"0f", X"f0", X"a4", X"2f", X"ff", X"ea", X"85", X"10",
131
    X"f0", X"a4", X"2f", X"ff", X"e5", X"83", X"85", X"11",
132
    X"f0", X"a4", X"2f", X"ff", X"e5", X"82", X"85", X"12",
133
    X"f0", X"a4", X"2f", X"8e", X"f0", X"8d", X"83", X"8c",
134
    X"82", X"22", X"c0", X"0e", X"85", X"81", X"0e", X"12",
135
    X"01", X"ee", X"d0", X"0e", X"22", X"85", X"82", X"31",
136
    X"85", X"83", X"32", X"85", X"f0", X"33", X"e4", X"f5",
137
    X"2e", X"f5", X"2f", X"f5", X"30", X"85", X"0d", X"34",
138
    X"90", X"02", X"d2", X"02", X"03", X"cb", X"c0", X"0e",
139
    X"85", X"81", X"0e", X"e5", X"0e", X"24", X"fb", X"ff",
140
    X"8f", X"34", X"e4", X"f5", X"2e", X"f5", X"2f", X"f5",
141
    X"30", X"e5", X"0e", X"24", X"fb", X"f8", X"86", X"31",
142
    X"08", X"86", X"32", X"08", X"86", X"33", X"90", X"02",
143
    X"d2", X"12", X"03", X"cb", X"d0", X"0e", X"22", X"af",
144
    X"82", X"c0", X"24", X"c0", X"25", X"c0", X"26", X"74",
145
    X"36", X"c0", X"e0", X"74", X"03", X"c0", X"e0", X"c0",
146
    X"22", X"c0", X"23", X"8f", X"82", X"22", X"15", X"81",
147
    X"15", X"81", X"15", X"81", X"05", X"2c", X"e4", X"b5",
148
    X"2c", X"02", X"05", X"2d", X"22", X"af", X"82", X"74",
149
    X"30", X"2f", X"ff", X"24", X"c6", X"50", X"0a", X"74",
150
    X"07", X"2f", X"ff", X"30", X"03", X"03", X"43", X"07",
151
    X"20", X"8f", X"82", X"02", X"03", X"1f", X"e5", X"82",
152
    X"ff", X"c4", X"54", X"0f", X"f5", X"82", X"c0", X"07",
153
    X"12", X"03", X"45", X"d0", X"07", X"74", X"0f", X"5f",
154
    X"f5", X"82", X"02", X"03", X"45", X"85", X"82", X"0f",
155
    X"85", X"27", X"10", X"85", X"28", X"11", X"85", X"29",
156
    X"12", X"85", X"2a", X"13", X"aa", X"2b", X"75", X"14",
157
    X"20", X"ea", X"2a", X"fa", X"e5", X"13", X"23", X"54",
158
    X"01", X"ff", X"8a", X"06", X"4e", X"fa", X"e5", X"10",
159
    X"25", X"10", X"f5", X"10", X"e5", X"11", X"33", X"f5",
160
    X"11", X"e5", X"12", X"33", X"f5", X"12", X"e5", X"13",
161
    X"33", X"f5", X"13", X"c3", X"ea", X"95", X"0f", X"40",
162
    X"08", X"ea", X"c3", X"95", X"0f", X"fa", X"43", X"10",
163
    X"01", X"d5", X"14", X"cd", X"85", X"10", X"27", X"85",
164
    X"11", X"28", X"85", X"12", X"29", X"85", X"13", X"2a",
165
    X"8a", X"2b", X"22", X"85", X"82", X"22", X"85", X"83",
166
    X"23", X"85", X"2e", X"24", X"85", X"2f", X"25", X"85",
167
    X"30", X"26", X"e4", X"f5", X"2c", X"f5", X"2d", X"ad",
168
    X"31", X"ae", X"32", X"af", X"33", X"8d", X"82", X"8e",
169
    X"83", X"8f", X"f0", X"12", X"08", X"fb", X"fc", X"74",
170
    X"01", X"2d", X"f5", X"31", X"e4", X"3e", X"f5", X"32",
171
    X"8f", X"33", X"ec", X"ff", X"70", X"03", X"02", X"08",
172
    X"dc", X"bf", X"25", X"02", X"80", X"03", X"02", X"08",
173
    X"d4", X"c2", X"04", X"c2", X"05", X"c2", X"06", X"c2",
174
    X"07", X"c2", X"08", X"c2", X"09", X"c2", X"0a", X"c2",
175
    X"0b", X"75", X"35", X"00", X"75", X"36", X"00", X"7c",
176
    X"ff", X"aa", X"31", X"ab", X"32", X"ae", X"33", X"8a",
177
    X"82", X"8b", X"83", X"8e", X"f0", X"12", X"08", X"fb",
178
    X"ff", X"a3", X"aa", X"82", X"ab", X"83", X"8a", X"31",
179
    X"8b", X"32", X"8e", X"33", X"bf", X"25", X"07", X"8f",
180
    X"82", X"12", X"03", X"1f", X"80", X"99", X"8f", X"38",
181
    X"74", X"d0", X"25", X"38", X"50", X"26", X"e5", X"38",
182
    X"24", X"c6", X"40", X"20", X"bc", X"ff", X"12", X"e5",
183
    X"36", X"75", X"f0", X"0a", X"a4", X"fd", X"2f", X"24",
184
    X"d0", X"f5", X"36", X"70", X"c2", X"d2", X"05", X"80",
185
    X"be", X"ec", X"75", X"f0", X"0a", X"a4", X"2f", X"24",
186
    X"d0", X"fc", X"80", X"b3", X"bf", X"2e", X"07", X"bc",
187
    X"ff", X"ad", X"7c", X"00", X"80", X"a9", X"8f", X"05",
188
    X"bd", X"61", X"00", X"40", X"0c", X"ed", X"24", X"85",
189
    X"40", X"07", X"53", X"07", X"df", X"d2", X"03", X"80",
190
    X"02", X"c2", X"03", X"bf", X"20", X"02", X"80", X"6d",
191
    X"bf", X"2b", X"02", X"80", X"63", X"bf", X"2d", X"02",
192
    X"80", X"59", X"bf", X"42", X"02", X"80", X"63", X"bf",
193
    X"43", X"02", X"80", X"68", X"bf", X"44", X"03", X"02",
194
    X"06", X"75", X"bf", X"46", X"03", X"02", X"06", X"8b",
195
    X"bf", X"48", X"03", X"02", X"04", X"27", X"bf", X"49",
196
    X"03", X"02", X"06", X"75", X"bf", X"4a", X"03", X"02",
197
    X"04", X"27", X"bf", X"4c", X"02", X"80", X"40", X"bf",
198
    X"4f", X"03", X"02", X"06", X"7c", X"bf", X"50", X"03",
199
    X"02", X"06", X"0a", X"bf", X"53", X"02", X"80", X"5c",
200
    X"bf", X"54", X"03", X"02", X"04", X"27", X"bf", X"55",
201
    X"03", X"02", X"06", X"81", X"bf", X"58", X"03", X"02",
202
    X"06", X"86", X"bf", X"5a", X"03", X"02", X"04", X"27",
203
    X"02", X"06", X"8f", X"d2", X"04", X"02", X"04", X"27",
204
    X"d2", X"06", X"02", X"04", X"27", X"d2", X"07", X"02",
205
    X"04", X"27", X"d2", X"09", X"02", X"04", X"27", X"d2",
206
    X"0a", X"02", X"04", X"27", X"30", X"09", X"0c", X"e5",
207
    X"34", X"14", X"fe", X"8e", X"34", X"8e", X"01", X"87",
208
    X"07", X"80", X"11", X"e5", X"34", X"24", X"fe", X"fe",
209
    X"8e", X"34", X"8e", X"01", X"87", X"05", X"09", X"87",
210
    X"06", X"19", X"8d", X"07", X"8f", X"82", X"12", X"03",
211
    X"1f", X"02", X"06", X"98", X"e5", X"34", X"24", X"fd",
212
    X"fe", X"8e", X"34", X"8e", X"01", X"87", X"03", X"09",
213
    X"87", X"05", X"09", X"87", X"06", X"19", X"19", X"8b",
214
    X"27", X"8d", X"28", X"8e", X"29", X"8b", X"82", X"8d",
215
    X"83", X"8e", X"f0", X"c0", X"04", X"12", X"08", X"e3",
216
    X"ad", X"82", X"ae", X"83", X"d0", X"04", X"bc", X"ff",
217
    X"02", X"8d", X"04", X"20", X"04", X"2c", X"c3", X"ed",
218
    X"95", X"36", X"50", X"26", X"e5", X"36", X"c3", X"9d",
219
    X"f5", X"36", X"ae", X"36", X"8e", X"03", X"1e", X"8e",
220
    X"36", X"eb", X"60", X"14", X"75", X"82", X"20", X"c0",
221
    X"06", X"c0", X"05", X"c0", X"04", X"12", X"03", X"1f",
222
    X"d0", X"04", X"d0", X"05", X"d0", X"06", X"80", X"e4",
223
    X"8e", X"36", X"8c", X"06", X"85", X"27", X"82", X"85",
224
    X"28", X"83", X"85", X"29", X"f0", X"12", X"08", X"fb",
225
    X"fc", X"ff", X"60", X"30", X"8e", X"04", X"1e", X"c3",
226
    X"e4", X"64", X"80", X"8c", X"f0", X"63", X"f0", X"80",
227
    X"95", X"f0", X"50", X"20", X"8f", X"82", X"c0", X"06",
228
    X"c0", X"05", X"12", X"03", X"1f", X"d0", X"05", X"d0",
229
    X"06", X"aa", X"27", X"ab", X"28", X"ac", X"29", X"0a",
230
    X"ba", X"00", X"01", X"0b", X"8a", X"27", X"8b", X"28",
231
    X"8c", X"29", X"80", X"c0", X"20", X"04", X"03", X"02",
232
    X"06", X"98", X"c3", X"ed", X"95", X"36", X"40", X"03",
233
    X"02", X"06", X"98", X"e5", X"36", X"c3", X"9d", X"f5",
234
    X"36", X"ae", X"36", X"8e", X"04", X"1e", X"8e", X"36",
235
    X"ec", X"70", X"03", X"02", X"06", X"96", X"75", X"82",
236
    X"20", X"c0", X"06", X"12", X"03", X"1f", X"d0", X"06",
237
    X"80", X"e9", X"e5", X"34", X"24", X"fd", X"fc", X"8c",
238
    X"34", X"8c", X"01", X"87", X"02", X"09", X"87", X"03",
239
    X"09", X"87", X"04", X"19", X"19", X"8a", X"27", X"8b",
240
    X"28", X"8c", X"29", X"ac", X"29", X"bc", X"80", X"00",
241
    X"40", X"04", X"7f", X"43", X"80", X"14", X"bc", X"60",
242
    X"00", X"40", X"04", X"7f", X"50", X"80", X"0b", X"bc",
243
    X"40", X"00", X"40", X"04", X"7f", X"49", X"80", X"02",
244
    X"7f", X"58", X"8f", X"82", X"c0", X"07", X"12", X"03",
245
    X"1f", X"75", X"82", X"3a", X"12", X"03", X"1f", X"75",
246
    X"82", X"30", X"12", X"03", X"1f", X"75", X"82", X"78",
247
    X"12", X"03", X"1f", X"d0", X"07", X"bf", X"49", X"02",
248
    X"80", X"0b", X"bf", X"50", X"02", X"80", X"06", X"85",
249
    X"28", X"82", X"12", X"03", X"5e", X"85", X"27", X"82",
250
    X"12", X"03", X"5e", X"80", X"23", X"d2", X"08", X"75",
251
    X"35", X"0a", X"80", X"1c", X"75", X"35", X"08", X"80",
252
    X"17", X"75", X"35", X"0a", X"80", X"12", X"75", X"35",
253
    X"10", X"80", X"0d", X"d2", X"0b", X"80", X"09", X"8f",
254
    X"82", X"12", X"03", X"1f", X"80", X"02", X"8e", X"36",
255
    X"30", X"0b", X"5c", X"e5", X"34", X"24", X"fc", X"fe",
256
    X"8e", X"34", X"8e", X"01", X"87", X"02", X"09", X"87",
257
    X"03", X"09", X"87", X"04", X"09", X"87", X"06", X"19",
258
    X"19", X"19", X"8a", X"27", X"8b", X"28", X"8c", X"29",
259
    X"8e", X"2a", X"75", X"27", X"54", X"75", X"28", X"09",
260
    X"75", X"29", X"80", X"85", X"27", X"39", X"85", X"28",
261
    X"3a", X"85", X"29", X"3b", X"74", X"01", X"25", X"39",
262
    X"fa", X"e4", X"35", X"3a", X"fc", X"ae", X"3b", X"8a",
263
    X"27", X"8c", X"28", X"8e", X"29", X"85", X"39", X"82",
264
    X"85", X"3a", X"83", X"85", X"3b", X"f0", X"12", X"08",
265
    X"fb", X"fe", X"ff", X"70", X"03", X"02", X"03", X"df",
266
    X"8f", X"82", X"12", X"03", X"1f", X"80", X"cc", X"e5",
267
    X"35", X"70", X"03", X"02", X"03", X"df", X"75", X"37",
268
    X"1a", X"30", X"09", X"2d", X"e5", X"34", X"14", X"fc",
269
    X"8c", X"34", X"8c", X"01", X"e7", X"fa", X"33", X"95",
270
    X"e0", X"fb", X"fc", X"fe", X"8a", X"27", X"8b", X"28",
271
    X"8c", X"29", X"8e", X"2a", X"20", X"08", X"66", X"aa",
272
    X"27", X"7b", X"00", X"7c", X"00", X"7e", X"00", X"8a",
273
    X"27", X"8b", X"28", X"8c", X"29", X"8e", X"2a", X"80",
274
    X"54", X"30", X"0a", X"21", X"e5", X"34", X"24", X"fc",
275
    X"fe", X"8e", X"34", X"8e", X"01", X"87", X"02", X"09",
276
    X"87", X"03", X"09", X"87", X"04", X"09", X"87", X"06",
277
    X"19", X"19", X"19", X"8a", X"27", X"8b", X"28", X"8c",
278
    X"29", X"8e", X"2a", X"80", X"30", X"e5", X"34", X"24",
279
    X"fe", X"fe", X"8e", X"34", X"8e", X"01", X"87", X"04",
280
    X"09", X"87", X"06", X"19", X"ee", X"33", X"95", X"e0",
281
    X"fb", X"fa", X"8c", X"27", X"8e", X"28", X"8b", X"29",
282
    X"8a", X"2a", X"20", X"08", X"10", X"aa", X"27", X"ab",
283
    X"28", X"7c", X"00", X"7e", X"00", X"8a", X"27", X"8b",
284
    X"28", X"8c", X"29", X"8e", X"2a", X"30", X"08", X"25",
285
    X"aa", X"27", X"ab", X"28", X"ac", X"29", X"e5", X"2a",
286
    X"fe", X"30", X"e7", X"17", X"c3", X"e4", X"9a", X"fa",
287
    X"e4", X"9b", X"fb", X"e4", X"9c", X"fc", X"e4", X"9e",
288
    X"fe", X"8a", X"27", X"8b", X"28", X"8c", X"29", X"8e",
289
    X"2a", X"80", X"02", X"c2", X"08", X"d2", X"0c", X"a9",
290
    X"37", X"7e", X"00", X"75", X"2b", X"00", X"85", X"35",
291
    X"82", X"c0", X"06", X"c0", X"01", X"12", X"03", X"75",
292
    X"d0", X"01", X"d0", X"06", X"20", X"0c", X"0d", X"e5",
293
    X"2b", X"c4", X"fc", X"e7", X"fb", X"4c", X"f7", X"19",
294
    X"89", X"37", X"80", X"02", X"a7", X"2b", X"0e", X"8e",
295
    X"05", X"b2", X"0c", X"e5", X"27", X"45", X"28", X"45",
296
    X"29", X"45", X"2a", X"70", X"ce", X"89", X"37", X"8e",
297
    X"05", X"e5", X"36", X"70", X"03", X"75", X"36", X"01",
298
    X"20", X"05", X"24", X"20", X"04", X"21", X"ed", X"04",
299
    X"fe", X"ac", X"36", X"c3", X"ee", X"9c", X"50", X"15",
300
    X"75", X"82", X"20", X"c0", X"06", X"c0", X"05", X"c0",
301
    X"04", X"12", X"03", X"1f", X"d0", X"04", X"d0", X"05",
302
    X"d0", X"06", X"1c", X"80", X"e6", X"8c", X"36", X"30",
303
    X"08", X"0e", X"75", X"82", X"2d", X"c0", X"05", X"12",
304
    X"03", X"1f", X"d0", X"05", X"15", X"36", X"80", X"23",
305
    X"ed", X"60", X"20", X"30", X"06", X"0e", X"75", X"82",
306
    X"2b", X"c0", X"05", X"12", X"03", X"1f", X"d0", X"05",
307
    X"15", X"36", X"80", X"0f", X"30", X"07", X"0c", X"75",
308
    X"82", X"20", X"c0", X"05", X"12", X"03", X"1f", X"d0",
309
    X"05", X"15", X"36", X"20", X"04", X"24", X"ae", X"36",
310
    X"8e", X"04", X"1e", X"8e", X"36", X"c3", X"ed", X"9c",
311
    X"50", X"2b", X"30", X"05", X"04", X"7c", X"30", X"80",
312
    X"02", X"7c", X"20", X"8c", X"82", X"c0", X"06", X"c0",
313
    X"05", X"12", X"03", X"1f", X"d0", X"05", X"d0", X"06",
314
    X"80", X"de", X"c3", X"ed", X"95", X"36", X"50", X"08",
315
    X"e5", X"36", X"c3", X"9d", X"f5", X"36", X"80", X"07",
316
    X"75", X"36", X"00", X"80", X"02", X"8e", X"36", X"a9",
317
    X"37", X"8d", X"06", X"8e", X"05", X"1e", X"ed", X"60",
318
    X"26", X"b2", X"0c", X"20", X"0c", X"0a", X"09", X"e7",
319
    X"c4", X"54", X"0f", X"fd", X"8d", X"2b", X"80", X"07",
320
    X"87", X"05", X"74", X"0f", X"5d", X"f5", X"2b", X"85",
321
    X"2b", X"82", X"c0", X"06", X"c0", X"01", X"12", X"03",
322
    X"45", X"d0", X"01", X"d0", X"06", X"80", X"d4", X"20",
323
    X"04", X"03", X"02", X"03", X"df", X"ae", X"36", X"8e",
324
    X"05", X"1e", X"ed", X"70", X"03", X"02", X"03", X"df",
325
    X"75", X"82", X"20", X"c0", X"06", X"12", X"03", X"1f",
326
    X"d0", X"06", X"80", X"eb", X"8f", X"82", X"12", X"03",
327
    X"1f", X"02", X"03", X"df", X"85", X"2c", X"82", X"85",
328
    X"2d", X"83", X"22", X"aa", X"82", X"ab", X"83", X"12",
329
    X"08", X"fb", X"60", X"03", X"a3", X"80", X"f8", X"c3",
330
    X"e5", X"82", X"9a", X"f5", X"82", X"e5", X"83", X"9b",
331
    X"f5", X"83", X"22", X"20", X"f7", X"14", X"30", X"f6",
332
    X"14", X"88", X"83", X"a8", X"82", X"20", X"f5", X"07",
333
    X"e6", X"a8", X"83", X"75", X"83", X"00", X"22", X"e2",
334
    X"80", X"f7", X"e4", X"93", X"22", X"e0", X"22", X"75",
335
    X"82", X"00", X"22", X"0a", X"0d", X"00", X"4c", X"69",
336
    X"67", X"68", X"74", X"35", X"32", X"20", X"70", X"72",
337
    X"6f", X"6a", X"65", X"63", X"74", X"20", X"2d", X"2d",
338
    X"20", X"4e", X"6f", X"76", X"20", X"32", X"34", X"20",
339
    X"32", X"30", X"31", X"32", X"0a", X"0a", X"0d", X"00",
340
    X"4c", X"45", X"44", X"20", X"62", X"6c", X"69", X"6e",
341
    X"6b", X"65", X"72", X"20", X"74", X"65", X"73", X"74",
342
    X"2e", X"0a", X"0d", X"00", X"3c", X"4e", X"4f", X"20",
343
    X"46", X"4c", X"4f", X"41", X"54", X"3e", X"00"
344
);
345
 
346
 
347
end package obj_code_pkg;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.