OpenCores
URL https://opencores.org/ocsvn/light52/light52/trunk

Subversion Repositories light52

[/] [light52/] [trunk/] [test/] [hello_asm/] [obj_code_pkg.vhdl] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 ja_rd
--------------------------------------------------------------------------------
2
-- obj_code_pkg.vhdl -- Application object code in vhdl constant string format.
3
--------------------------------------------------------------------------------
4
-- Written by build_rom.py for project 'hello_asm'.
5
--------------------------------------------------------------------------------
6
-- Copyright (C) 2012 Jose A. Ruiz
7
--
8
-- This source file may be used and distributed without
9
-- restriction provided that this copyright statement is not
10
-- removed from the file and that any derivative work contains
11
-- the original copyright notice and the associated disclaimer.
12
--
13
-- This source file is free software; you can redistribute it
14
-- and/or modify it under the terms of the GNU Lesser General
15
-- Public License as published by the Free Software Foundation;
16
-- either version 2.1 of the License, or (at your option) any
17
-- later version.
18
--
19
-- This source is distributed in the hope that it will be
20
-- useful, but WITHOUT ANY WARRANTY; without even the implied
21
-- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR
22
-- PURPOSE.  See the GNU Lesser General Public License for more
23
-- details.
24
--
25
-- You should have received a copy of the GNU Lesser General
26
-- Public License along with this source; if not, download it
27
-- from http://www.opencores.org/lgpl.shtml
28
--------------------------------------------------------------------------------
29
 
30
library ieee;
31
use ieee.std_logic_1164.all;
32
use ieee.numeric_std.all;
33
use work.light52_pkg.all;
34
 
35
package obj_code_pkg is
36
 
37
-- Size of XCODE memory in bytes.
38
constant XCODE_SIZE : natural := 2048;
39
-- Size of XDATA memory in bytes.
40
constant XDATA_SIZE : natural := 0;
41
 
42
-- Object code initialization constant.
43
constant object_code : t_obj_code(0 to 149) := (
44
    X"02", X"00", X"30", X"02", X"00", X"6c", X"00", X"00",
45
    X"00", X"00", X"00", X"02", X"00", X"6e", X"00", X"00",
46
    X"00", X"00", X"00", X"02", X"00", X"6c", X"00", X"00",
47
    X"00", X"00", X"00", X"02", X"00", X"6c", X"00", X"00",
48
    X"00", X"00", X"00", X"02", X"00", X"6d", X"00", X"00",
49
    X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
50
    X"75", X"81", X"40", X"75", X"80", X"00", X"75", X"90",
51
    X"00", X"90", X"00", X"7e", X"12", X"00", X"59", X"75",
52
    X"a8", X"00", X"75", X"88", X"00", X"75", X"8f", X"fc",
53
    X"75", X"8e", X"50", X"75", X"a8", X"82", X"75", X"88",
54
    X"30", X"01", X"51", X"f5", X"99", X"30", X"9c", X"fd",
55
    X"22", X"c0", X"30", X"75", X"30", X"00", X"e5", X"30",
56
    X"05", X"30", X"93", X"60", X"04", X"11", X"53", X"80",
57
    X"f5", X"d0", X"30", X"22", X"32", X"32", X"d2", X"88",
58
    X"c0", X"83", X"c0", X"82", X"90", X"00", X"8e", X"11",
59
    X"59", X"d0", X"82", X"d0", X"83", X"32", X"48", X"65",
60
    X"6c", X"6c", X"6f", X"20", X"57", X"6f", X"72", X"6c",
61
    X"64", X"21", X"0d", X"0a", X"00", X"00", X"54", X"69",
62
    X"63", X"6b", X"21", X"0d", X"0a", X"00"
63
);
64
 
65
 
66
end package obj_code_pkg;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.