OpenCores
URL https://opencores.org/ocsvn/light52/light52/trunk

Subversion Repositories light52

[/] [light52/] [trunk/] [test/] [hello_c/] [obj_code_pkg.vhdl] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 ja_rd
--------------------------------------------------------------------------------
2
-- obj_code_pkg.vhdl -- Application object code in vhdl constant string format.
3
--------------------------------------------------------------------------------
4
-- Written by build_rom.py for project 'Hello World'.
5
--------------------------------------------------------------------------------
6
-- Copyright (C) 2012 Jose A. Ruiz
7
--
8
-- This source file may be used and distributed without
9
-- restriction provided that this copyright statement is not
10
-- removed from the file and that any derivative work contains
11
-- the original copyright notice and the associated disclaimer.
12
--
13
-- This source file is free software; you can redistribute it
14
-- and/or modify it under the terms of the GNU Lesser General
15
-- Public License as published by the Free Software Foundation;
16
-- either version 2.1 of the License, or (at your option) any
17
-- later version.
18
--
19
-- This source is distributed in the hope that it will be
20
-- useful, but WITHOUT ANY WARRANTY; without even the implied
21
-- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR
22
-- PURPOSE.  See the GNU Lesser General Public License for more
23
-- details.
24
--
25
-- You should have received a copy of the GNU Lesser General
26
-- Public License along with this source; if not, download it
27
-- from http://www.opencores.org/lgpl.shtml
28
--------------------------------------------------------------------------------
29
 
30
library ieee;
31
use ieee.std_logic_1164.all;
32
use ieee.numeric_std.all;
33
use work.light52_pkg.all;
34
 
35
package obj_code_pkg is
36
 
37
-- Size of XCODE memory in bytes.
38
constant XCODE_SIZE : natural := 2048;
39
-- Size of XDATA memory in bytes.
40
constant XDATA_SIZE : natural := 512;
41
 
42
-- Object code initialization constant.
43
constant object_code : t_obj_code(0 to 1853) := (
44
    X"02", X"00", X"08", X"12", X"00", X"64", X"80", X"fe",
45
    X"75", X"81", X"3b", X"12", X"06", X"fb", X"e5", X"82",
46
    X"60", X"03", X"02", X"00", X"03", X"79", X"00", X"e9",
47
    X"44", X"00", X"60", X"1b", X"7a", X"00", X"90", X"07",
48
    X"3e", X"78", X"00", X"75", X"a0", X"00", X"e4", X"93",
49
    X"f2", X"a3", X"08", X"b8", X"00", X"02", X"05", X"a0",
50
    X"d9", X"f4", X"da", X"f2", X"75", X"a0", X"ff", X"e4",
51
    X"78", X"ff", X"f6", X"d8", X"fd", X"78", X"00", X"e8",
52
    X"44", X"00", X"60", X"0a", X"79", X"00", X"75", X"a0",
53
    X"00", X"e4", X"f3", X"09", X"d8", X"fc", X"78", X"00",
54
    X"e8", X"44", X"00", X"60", X"0c", X"79", X"00", X"90",
55
    X"00", X"00", X"e4", X"f0", X"a3", X"d8", X"fc", X"d9",
56
    X"fa", X"02", X"00", X"03", X"74", X"ff", X"c0", X"e0",
57
    X"74", X"06", X"c0", X"e0", X"74", X"80", X"c0", X"e0",
58
    X"12", X"00", X"da", X"15", X"81", X"15", X"81", X"15",
59
    X"81", X"74", X"02", X"c0", X"e0", X"74", X"07", X"c0",
60
    X"e0", X"74", X"80", X"c0", X"e0", X"12", X"00", X"da",
61
    X"15", X"81", X"15", X"81", X"15", X"81", X"74", X"24",
62
    X"c0", X"e0", X"74", X"07", X"c0", X"e0", X"74", X"80",
63
    X"c0", X"e0", X"12", X"00", X"da", X"15", X"81", X"15",
64
    X"81", X"15", X"81", X"80", X"fe", X"af", X"82", X"30",
65
    X"9c", X"fd", X"8f", X"99", X"bf", X"0a", X"06", X"30",
66
    X"9c", X"fd", X"75", X"99", X"0d", X"22", X"c0", X"09",
67
    X"85", X"81", X"09", X"12", X"00", X"a5", X"d0", X"09",
68
    X"22", X"85", X"82", X"31", X"85", X"83", X"32", X"85",
69
    X"f0", X"33", X"e4", X"f5", X"2e", X"f5", X"2f", X"f5",
70
    X"30", X"85", X"08", X"34", X"90", X"00", X"b6", X"02",
71
    X"01", X"af", X"c0", X"09", X"85", X"81", X"09", X"e5",
72
    X"09", X"24", X"fb", X"ff", X"8f", X"34", X"e4", X"f5",
73
    X"2e", X"f5", X"2f", X"f5", X"30", X"e5", X"09", X"24",
74
    X"fb", X"f8", X"86", X"31", X"08", X"86", X"32", X"08",
75
    X"86", X"33", X"90", X"00", X"b6", X"12", X"01", X"af",
76
    X"d0", X"09", X"22", X"af", X"82", X"c0", X"24", X"c0",
77
    X"25", X"c0", X"26", X"74", X"1a", X"c0", X"e0", X"74",
78
    X"01", X"c0", X"e0", X"c0", X"22", X"c0", X"23", X"8f",
79
    X"82", X"22", X"15", X"81", X"15", X"81", X"15", X"81",
80
    X"05", X"2c", X"e4", X"b5", X"2c", X"02", X"05", X"2d",
81
    X"22", X"af", X"82", X"74", X"30", X"2f", X"ff", X"24",
82
    X"c6", X"50", X"0a", X"74", X"07", X"2f", X"ff", X"30",
83
    X"00", X"03", X"43", X"07", X"20", X"8f", X"82", X"02",
84
    X"01", X"03", X"e5", X"82", X"ff", X"c4", X"54", X"0f",
85
    X"f5", X"82", X"c0", X"07", X"12", X"01", X"29", X"d0",
86
    X"07", X"74", X"0f", X"5f", X"f5", X"82", X"02", X"01",
87
    X"29", X"85", X"82", X"0a", X"85", X"27", X"0b", X"85",
88
    X"28", X"0c", X"85", X"29", X"0d", X"85", X"2a", X"0e",
89
    X"aa", X"2b", X"75", X"0f", X"20", X"ea", X"2a", X"fa",
90
    X"e5", X"0e", X"23", X"54", X"01", X"ff", X"8a", X"06",
91
    X"4e", X"fa", X"e5", X"0b", X"25", X"0b", X"f5", X"0b",
92
    X"e5", X"0c", X"33", X"f5", X"0c", X"e5", X"0d", X"33",
93
    X"f5", X"0d", X"e5", X"0e", X"33", X"f5", X"0e", X"c3",
94
    X"ea", X"95", X"0a", X"40", X"08", X"ea", X"c3", X"95",
95
    X"0a", X"fa", X"43", X"0b", X"01", X"d5", X"0f", X"cd",
96
    X"85", X"0b", X"27", X"85", X"0c", X"28", X"85", X"0d",
97
    X"29", X"85", X"0e", X"2a", X"8a", X"2b", X"22", X"85",
98
    X"82", X"22", X"85", X"83", X"23", X"85", X"2e", X"24",
99
    X"85", X"2f", X"25", X"85", X"30", X"26", X"e4", X"f5",
100
    X"2c", X"f5", X"2d", X"ad", X"31", X"ae", X"32", X"af",
101
    X"33", X"8d", X"82", X"8e", X"83", X"8f", X"f0", X"12",
102
    X"06", X"df", X"fc", X"74", X"01", X"2d", X"f5", X"31",
103
    X"e4", X"3e", X"f5", X"32", X"8f", X"33", X"ec", X"ff",
104
    X"70", X"03", X"02", X"06", X"c0", X"bf", X"25", X"02",
105
    X"80", X"03", X"02", X"06", X"b8", X"c2", X"01", X"c2",
106
    X"02", X"c2", X"03", X"c2", X"04", X"c2", X"05", X"c2",
107
    X"06", X"c2", X"07", X"c2", X"08", X"75", X"35", X"00",
108
    X"75", X"36", X"00", X"7c", X"ff", X"aa", X"31", X"ab",
109
    X"32", X"ae", X"33", X"8a", X"82", X"8b", X"83", X"8e",
110
    X"f0", X"12", X"06", X"df", X"ff", X"a3", X"aa", X"82",
111
    X"ab", X"83", X"8a", X"31", X"8b", X"32", X"8e", X"33",
112
    X"bf", X"25", X"07", X"8f", X"82", X"12", X"01", X"03",
113
    X"80", X"99", X"8f", X"38", X"74", X"d0", X"25", X"38",
114
    X"50", X"26", X"e5", X"38", X"24", X"c6", X"40", X"20",
115
    X"bc", X"ff", X"12", X"e5", X"36", X"75", X"f0", X"0a",
116
    X"a4", X"fd", X"2f", X"24", X"d0", X"f5", X"36", X"70",
117
    X"c2", X"d2", X"02", X"80", X"be", X"ec", X"75", X"f0",
118
    X"0a", X"a4", X"2f", X"24", X"d0", X"fc", X"80", X"b3",
119
    X"bf", X"2e", X"07", X"bc", X"ff", X"ad", X"7c", X"00",
120
    X"80", X"a9", X"8f", X"05", X"bd", X"61", X"00", X"40",
121
    X"0c", X"ed", X"24", X"85", X"40", X"07", X"53", X"07",
122
    X"df", X"d2", X"00", X"80", X"02", X"c2", X"00", X"bf",
123
    X"20", X"02", X"80", X"6d", X"bf", X"2b", X"02", X"80",
124
    X"63", X"bf", X"2d", X"02", X"80", X"59", X"bf", X"42",
125
    X"02", X"80", X"63", X"bf", X"43", X"02", X"80", X"68",
126
    X"bf", X"44", X"03", X"02", X"04", X"59", X"bf", X"46",
127
    X"03", X"02", X"04", X"6f", X"bf", X"48", X"03", X"02",
128
    X"02", X"0b", X"bf", X"49", X"03", X"02", X"04", X"59",
129
    X"bf", X"4a", X"03", X"02", X"02", X"0b", X"bf", X"4c",
130
    X"02", X"80", X"40", X"bf", X"4f", X"03", X"02", X"04",
131
    X"60", X"bf", X"50", X"03", X"02", X"03", X"ee", X"bf",
132
    X"53", X"02", X"80", X"5c", X"bf", X"54", X"03", X"02",
133
    X"02", X"0b", X"bf", X"55", X"03", X"02", X"04", X"65",
134
    X"bf", X"58", X"03", X"02", X"04", X"6a", X"bf", X"5a",
135
    X"03", X"02", X"02", X"0b", X"02", X"04", X"73", X"d2",
136
    X"01", X"02", X"02", X"0b", X"d2", X"03", X"02", X"02",
137
    X"0b", X"d2", X"04", X"02", X"02", X"0b", X"d2", X"06",
138
    X"02", X"02", X"0b", X"d2", X"07", X"02", X"02", X"0b",
139
    X"30", X"06", X"0c", X"e5", X"34", X"14", X"fe", X"8e",
140
    X"34", X"8e", X"01", X"87", X"07", X"80", X"11", X"e5",
141
    X"34", X"24", X"fe", X"fe", X"8e", X"34", X"8e", X"01",
142
    X"87", X"05", X"09", X"87", X"06", X"19", X"8d", X"07",
143
    X"8f", X"82", X"12", X"01", X"03", X"02", X"04", X"7c",
144
    X"e5", X"34", X"24", X"fd", X"fe", X"8e", X"34", X"8e",
145
    X"01", X"87", X"03", X"09", X"87", X"05", X"09", X"87",
146
    X"06", X"19", X"19", X"8b", X"27", X"8d", X"28", X"8e",
147
    X"29", X"8b", X"82", X"8d", X"83", X"8e", X"f0", X"c0",
148
    X"04", X"12", X"06", X"c7", X"ad", X"82", X"ae", X"83",
149
    X"d0", X"04", X"bc", X"ff", X"02", X"8d", X"04", X"20",
150
    X"01", X"2c", X"c3", X"ed", X"95", X"36", X"50", X"26",
151
    X"e5", X"36", X"c3", X"9d", X"f5", X"36", X"ae", X"36",
152
    X"8e", X"03", X"1e", X"8e", X"36", X"eb", X"60", X"14",
153
    X"75", X"82", X"20", X"c0", X"06", X"c0", X"05", X"c0",
154
    X"04", X"12", X"01", X"03", X"d0", X"04", X"d0", X"05",
155
    X"d0", X"06", X"80", X"e4", X"8e", X"36", X"8c", X"06",
156
    X"85", X"27", X"82", X"85", X"28", X"83", X"85", X"29",
157
    X"f0", X"12", X"06", X"df", X"fc", X"ff", X"60", X"30",
158
    X"8e", X"04", X"1e", X"c3", X"e4", X"64", X"80", X"8c",
159
    X"f0", X"63", X"f0", X"80", X"95", X"f0", X"50", X"20",
160
    X"8f", X"82", X"c0", X"06", X"c0", X"05", X"12", X"01",
161
    X"03", X"d0", X"05", X"d0", X"06", X"aa", X"27", X"ab",
162
    X"28", X"ac", X"29", X"0a", X"ba", X"00", X"01", X"0b",
163
    X"8a", X"27", X"8b", X"28", X"8c", X"29", X"80", X"c0",
164
    X"20", X"01", X"03", X"02", X"04", X"7c", X"c3", X"ed",
165
    X"95", X"36", X"40", X"03", X"02", X"04", X"7c", X"e5",
166
    X"36", X"c3", X"9d", X"f5", X"36", X"ae", X"36", X"8e",
167
    X"04", X"1e", X"8e", X"36", X"ec", X"70", X"03", X"02",
168
    X"04", X"7a", X"75", X"82", X"20", X"c0", X"06", X"12",
169
    X"01", X"03", X"d0", X"06", X"80", X"e9", X"e5", X"34",
170
    X"24", X"fd", X"fc", X"8c", X"34", X"8c", X"01", X"87",
171
    X"02", X"09", X"87", X"03", X"09", X"87", X"04", X"19",
172
    X"19", X"8a", X"27", X"8b", X"28", X"8c", X"29", X"ac",
173
    X"29", X"bc", X"80", X"00", X"40", X"04", X"7f", X"43",
174
    X"80", X"14", X"bc", X"60", X"00", X"40", X"04", X"7f",
175
    X"50", X"80", X"0b", X"bc", X"40", X"00", X"40", X"04",
176
    X"7f", X"49", X"80", X"02", X"7f", X"58", X"8f", X"82",
177
    X"c0", X"07", X"12", X"01", X"03", X"75", X"82", X"3a",
178
    X"12", X"01", X"03", X"75", X"82", X"30", X"12", X"01",
179
    X"03", X"75", X"82", X"78", X"12", X"01", X"03", X"d0",
180
    X"07", X"bf", X"49", X"02", X"80", X"0b", X"bf", X"50",
181
    X"02", X"80", X"06", X"85", X"28", X"82", X"12", X"01",
182
    X"42", X"85", X"27", X"82", X"12", X"01", X"42", X"80",
183
    X"23", X"d2", X"05", X"75", X"35", X"0a", X"80", X"1c",
184
    X"75", X"35", X"08", X"80", X"17", X"75", X"35", X"0a",
185
    X"80", X"12", X"75", X"35", X"10", X"80", X"0d", X"d2",
186
    X"08", X"80", X"09", X"8f", X"82", X"12", X"01", X"03",
187
    X"80", X"02", X"8e", X"36", X"30", X"08", X"5c", X"e5",
188
    X"34", X"24", X"fc", X"fe", X"8e", X"34", X"8e", X"01",
189
    X"87", X"02", X"09", X"87", X"03", X"09", X"87", X"04",
190
    X"09", X"87", X"06", X"19", X"19", X"19", X"8a", X"27",
191
    X"8b", X"28", X"8c", X"29", X"8e", X"2a", X"75", X"27",
192
    X"33", X"75", X"28", X"07", X"75", X"29", X"80", X"85",
193
    X"27", X"39", X"85", X"28", X"3a", X"85", X"29", X"3b",
194
    X"74", X"01", X"25", X"39", X"fa", X"e4", X"35", X"3a",
195
    X"fc", X"ae", X"3b", X"8a", X"27", X"8c", X"28", X"8e",
196
    X"29", X"85", X"39", X"82", X"85", X"3a", X"83", X"85",
197
    X"3b", X"f0", X"12", X"06", X"df", X"fe", X"ff", X"70",
198
    X"03", X"02", X"01", X"c3", X"8f", X"82", X"12", X"01",
199
    X"03", X"80", X"cc", X"e5", X"35", X"70", X"03", X"02",
200
    X"01", X"c3", X"75", X"37", X"15", X"30", X"06", X"2d",
201
    X"e5", X"34", X"14", X"fc", X"8c", X"34", X"8c", X"01",
202
    X"e7", X"fa", X"33", X"95", X"e0", X"fb", X"fc", X"fe",
203
    X"8a", X"27", X"8b", X"28", X"8c", X"29", X"8e", X"2a",
204
    X"20", X"05", X"66", X"aa", X"27", X"7b", X"00", X"7c",
205
    X"00", X"7e", X"00", X"8a", X"27", X"8b", X"28", X"8c",
206
    X"29", X"8e", X"2a", X"80", X"54", X"30", X"07", X"21",
207
    X"e5", X"34", X"24", X"fc", X"fe", X"8e", X"34", X"8e",
208
    X"01", X"87", X"02", X"09", X"87", X"03", X"09", X"87",
209
    X"04", X"09", X"87", X"06", X"19", X"19", X"19", X"8a",
210
    X"27", X"8b", X"28", X"8c", X"29", X"8e", X"2a", X"80",
211
    X"30", X"e5", X"34", X"24", X"fe", X"fe", X"8e", X"34",
212
    X"8e", X"01", X"87", X"04", X"09", X"87", X"06", X"19",
213
    X"ee", X"33", X"95", X"e0", X"fb", X"fa", X"8c", X"27",
214
    X"8e", X"28", X"8b", X"29", X"8a", X"2a", X"20", X"05",
215
    X"10", X"aa", X"27", X"ab", X"28", X"7c", X"00", X"7e",
216
    X"00", X"8a", X"27", X"8b", X"28", X"8c", X"29", X"8e",
217
    X"2a", X"30", X"05", X"25", X"aa", X"27", X"ab", X"28",
218
    X"ac", X"29", X"e5", X"2a", X"fe", X"30", X"e7", X"17",
219
    X"c3", X"e4", X"9a", X"fa", X"e4", X"9b", X"fb", X"e4",
220
    X"9c", X"fc", X"e4", X"9e", X"fe", X"8a", X"27", X"8b",
221
    X"28", X"8c", X"29", X"8e", X"2a", X"80", X"02", X"c2",
222
    X"05", X"d2", X"09", X"a9", X"37", X"7e", X"00", X"75",
223
    X"2b", X"00", X"85", X"35", X"82", X"c0", X"06", X"c0",
224
    X"01", X"12", X"01", X"59", X"d0", X"01", X"d0", X"06",
225
    X"20", X"09", X"0d", X"e5", X"2b", X"c4", X"fc", X"e7",
226
    X"fb", X"4c", X"f7", X"19", X"89", X"37", X"80", X"02",
227
    X"a7", X"2b", X"0e", X"8e", X"05", X"b2", X"09", X"e5",
228
    X"27", X"45", X"28", X"45", X"29", X"45", X"2a", X"70",
229
    X"ce", X"89", X"37", X"8e", X"05", X"e5", X"36", X"70",
230
    X"03", X"75", X"36", X"01", X"20", X"02", X"24", X"20",
231
    X"01", X"21", X"ed", X"04", X"fe", X"ac", X"36", X"c3",
232
    X"ee", X"9c", X"50", X"15", X"75", X"82", X"20", X"c0",
233
    X"06", X"c0", X"05", X"c0", X"04", X"12", X"01", X"03",
234
    X"d0", X"04", X"d0", X"05", X"d0", X"06", X"1c", X"80",
235
    X"e6", X"8c", X"36", X"30", X"05", X"0e", X"75", X"82",
236
    X"2d", X"c0", X"05", X"12", X"01", X"03", X"d0", X"05",
237
    X"15", X"36", X"80", X"23", X"ed", X"60", X"20", X"30",
238
    X"03", X"0e", X"75", X"82", X"2b", X"c0", X"05", X"12",
239
    X"01", X"03", X"d0", X"05", X"15", X"36", X"80", X"0f",
240
    X"30", X"04", X"0c", X"75", X"82", X"20", X"c0", X"05",
241
    X"12", X"01", X"03", X"d0", X"05", X"15", X"36", X"20",
242
    X"01", X"24", X"ae", X"36", X"8e", X"04", X"1e", X"8e",
243
    X"36", X"c3", X"ed", X"9c", X"50", X"2b", X"30", X"02",
244
    X"04", X"7c", X"30", X"80", X"02", X"7c", X"20", X"8c",
245
    X"82", X"c0", X"06", X"c0", X"05", X"12", X"01", X"03",
246
    X"d0", X"05", X"d0", X"06", X"80", X"de", X"c3", X"ed",
247
    X"95", X"36", X"50", X"08", X"e5", X"36", X"c3", X"9d",
248
    X"f5", X"36", X"80", X"07", X"75", X"36", X"00", X"80",
249
    X"02", X"8e", X"36", X"a9", X"37", X"8d", X"06", X"8e",
250
    X"05", X"1e", X"ed", X"60", X"26", X"b2", X"09", X"20",
251
    X"09", X"0a", X"09", X"e7", X"c4", X"54", X"0f", X"fd",
252
    X"8d", X"2b", X"80", X"07", X"87", X"05", X"74", X"0f",
253
    X"5d", X"f5", X"2b", X"85", X"2b", X"82", X"c0", X"06",
254
    X"c0", X"01", X"12", X"01", X"29", X"d0", X"01", X"d0",
255
    X"06", X"80", X"d4", X"20", X"01", X"03", X"02", X"01",
256
    X"c3", X"ae", X"36", X"8e", X"05", X"1e", X"ed", X"70",
257
    X"03", X"02", X"01", X"c3", X"75", X"82", X"20", X"c0",
258
    X"06", X"12", X"01", X"03", X"d0", X"06", X"80", X"eb",
259
    X"8f", X"82", X"12", X"01", X"03", X"02", X"01", X"c3",
260
    X"85", X"2c", X"82", X"85", X"2d", X"83", X"22", X"aa",
261
    X"82", X"ab", X"83", X"12", X"06", X"df", X"60", X"03",
262
    X"a3", X"80", X"f8", X"c3", X"e5", X"82", X"9a", X"f5",
263
    X"82", X"e5", X"83", X"9b", X"f5", X"83", X"22", X"20",
264
    X"f7", X"14", X"30", X"f6", X"14", X"88", X"83", X"a8",
265
    X"82", X"20", X"f5", X"07", X"e6", X"a8", X"83", X"75",
266
    X"83", X"00", X"22", X"e2", X"80", X"f7", X"e4", X"93",
267
    X"22", X"e0", X"22", X"75", X"82", X"00", X"22", X"0a",
268
    X"0d", X"00", X"4c", X"69", X"67", X"68", X"74", X"35",
269
    X"32", X"20", X"70", X"72", X"6f", X"6a", X"65", X"63",
270
    X"74", X"20", X"2d", X"2d", X"20", X"4f", X"63", X"74",
271
    X"20", X"20", X"31", X"20", X"32", X"30", X"31", X"32",
272
    X"0a", X"0a", X"0d", X"00", X"48", X"65", X"6c", X"6c",
273
    X"6f", X"20", X"57", X"6f", X"72", X"6c", X"64", X"21",
274
    X"0a", X"0d", X"00", X"3c", X"4e", X"4f", X"20", X"46",
275
    X"4c", X"4f", X"41", X"54", X"3e", X"00"
276
);
277
 
278
 
279
end package obj_code_pkg;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.