OpenCores
URL https://opencores.org/ocsvn/light52/light52/trunk

Subversion Repositories light52

[/] [light52/] [trunk/] [test/] [irq_test/] [obj_code_pkg.vhdl] - Blame information for rev 15

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 ja_rd
--------------------------------------------------------------------------------
2
-- obj_code_pkg.vhdl -- Application object code in vhdl constant string format.
3
--------------------------------------------------------------------------------
4
-- Written by build_rom.py for project 'irq_test'.
5
--------------------------------------------------------------------------------
6
-- Copyright (C) 2012 Jose A. Ruiz
7
--
8
-- This source file may be used and distributed without
9
-- restriction provided that this copyright statement is not
10
-- removed from the file and that any derivative work contains
11
-- the original copyright notice and the associated disclaimer.
12
--
13
-- This source file is free software; you can redistribute it
14
-- and/or modify it under the terms of the GNU Lesser General
15
-- Public License as published by the Free Software Foundation;
16
-- either version 2.1 of the License, or (at your option) any
17
-- later version.
18
--
19
-- This source is distributed in the hope that it will be
20
-- useful, but WITHOUT ANY WARRANTY; without even the implied
21
-- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR
22
-- PURPOSE.  See the GNU Lesser General Public License for more
23
-- details.
24
--
25
-- You should have received a copy of the GNU Lesser General
26
-- Public License along with this source; if not, download it
27
-- from http://www.opencores.org/lgpl.shtml
28
--------------------------------------------------------------------------------
29
 
30
library ieee;
31
use ieee.std_logic_1164.all;
32
use ieee.numeric_std.all;
33
use work.light52_pkg.all;
34
 
35
package obj_code_pkg is
36
 
37
-- Size of XCODE memory in bytes.
38
constant XCODE_SIZE : natural := 2048;
39
-- Size of XDATA memory in bytes.
40
constant XDATA_SIZE : natural := 512;
41
 
42
-- Object code initialization constant.
43
constant object_code : t_obj_code(0 to 355) := (
44
    X"02", X"00", X"30", X"02", X"00", X"d4", X"00", X"00",
45
    X"00", X"00", X"00", X"02", X"00", X"e2", X"00", X"00",
46
    X"00", X"00", X"00", X"02", X"00", X"e2", X"00", X"00",
47
    X"00", X"00", X"00", X"02", X"00", X"e2", X"00", X"00",
48
    X"00", X"00", X"00", X"02", X"00", X"e2", X"00", X"00",
49
    X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
50
    X"75", X"a8", X"00", X"75", X"90", X"01", X"00", X"00",
51
    X"00", X"e5", X"60", X"b4", X"00", X"7f", X"d2", X"c0",
52
    X"75", X"a8", X"01", X"75", X"90", X"01", X"00", X"00",
53
    X"00", X"e5", X"60", X"b4", X"00", X"6f", X"d2", X"c0",
54
    X"75", X"90", X"00", X"75", X"a8", X"81", X"75", X"60",
55
    X"00", X"75", X"90", X"01", X"00", X"00", X"00", X"e5",
56
    X"60", X"b4", X"01", X"4e", X"d2", X"c0", X"90", X"01",
57
    X"08", X"12", X"00", X"c8", X"75", X"a8", X"00", X"75",
58
    X"88", X"00", X"75", X"8d", X"00", X"75", X"8c", X"00",
59
    X"75", X"8f", X"c3", X"75", X"8e", X"50", X"75", X"88",
60
    X"30", X"78", X"5f", X"00", X"d8", X"fd", X"e5", X"8d",
61
    X"b4", X"00", X"1c", X"e5", X"8c", X"b4", X"00", X"17",
62
    X"78", X"0a", X"00", X"d8", X"fd", X"e5", X"8d", X"b4",
63
    X"00", X"0d", X"e5", X"8c", X"b4", X"01", X"08", X"90",
64
    X"01", X"43", X"12", X"00", X"c8", X"01", X"a5", X"90",
65
    X"01", X"35", X"12", X"00", X"c8", X"75", X"a8", X"00",
66
    X"01", X"b0", X"90", X"01", X"27", X"12", X"00", X"c8",
67
    X"75", X"a8", X"00", X"01", X"bb", X"90", X"00", X"f6",
68
    X"12", X"00", X"c8", X"75", X"a8", X"00", X"01", X"c6",
69
    X"78", X"00", X"e8", X"08", X"93", X"60", X"04", X"f5",
70
    X"99", X"80", X"f7", X"22", X"75", X"90", X"00", X"75",
71
    X"c0", X"ff", X"05", X"60", X"90", X"00", X"e4", X"11",
72
    X"c8", X"32", X"01", X"e2", X"3c", X"45", X"78", X"74",
73
    X"65", X"72", X"6e", X"61", X"6c", X"20", X"69", X"72",
74
    X"71", X"3e", X"0d", X"0a", X"00", X"00", X"55", X"6e",
75
    X"65", X"78", X"70", X"65", X"63", X"74", X"65", X"64",
76
    X"20", X"49", X"52", X"51", X"0d", X"0a", X"00", X"00",
77
    X"49", X"52", X"51", X"20", X"74", X"65", X"73", X"74",
78
    X"20", X"66", X"69", X"6e", X"69", X"73", X"68", X"65",
79
    X"64", X"2c", X"20", X"6e", X"6f", X"20", X"65", X"72",
80
    X"72", X"6f", X"72", X"73", X"0d", X"0a", X"00", X"4d",
81
    X"69", X"73", X"73", X"69", X"6e", X"67", X"20", X"49",
82
    X"52", X"51", X"0d", X"0a", X"00", X"54", X"69", X"6d",
83
    X"65", X"72", X"20", X"65", X"72", X"72", X"6f", X"72",
84
    X"0d", X"0a", X"00", X"54", X"69", X"6d", X"65", X"72",
85
    X"20", X"74", X"65", X"73", X"74", X"20", X"66", X"69",
86
    X"6e", X"69", X"73", X"68", X"65", X"64", X"2c", X"20",
87
    X"6e", X"6f", X"20", X"65", X"72", X"72", X"6f", X"72",
88
    X"73", X"0d", X"0a", X"00"
89
);
90
 
91
 
92
end package obj_code_pkg;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.