OpenCores
URL https://opencores.org/ocsvn/light52/light52/trunk

Subversion Repositories light52

[/] [light52/] [trunk/] [tools/] [build_rom/] [templates/] [obj_code_pkg_template.vhdl] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 ja_rd
--------------------------------------------------------------------------------
2
-- obj_code_pkg.vhdl -- Application object code in vhdl constant string format.
3
--------------------------------------------------------------------------------
4
-- Written by build_rom.py for project '@project_name@'.
5
--------------------------------------------------------------------------------
6
-- Copyright (C) 2012 Jose A. Ruiz
7
--                                                              
8
-- This source file may be used and distributed without         
9
-- restriction provided that this copyright statement is not    
10
-- removed from the file and that any derivative work contains  
11
-- the original copyright notice and the associated disclaimer. 
12
--                                                              
13
-- This source file is free software; you can redistribute it   
14
-- and/or modify it under the terms of the GNU Lesser General   
15
-- Public License as published by the Free Software Foundation; 
16
-- either version 2.1 of the License, or (at your option) any   
17
-- later version.                                               
18
--                                                              
19
-- This source is distributed in the hope that it will be       
20
-- useful, but WITHOUT ANY WARRANTY; without even the implied   
21
-- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      
22
-- PURPOSE.  See the GNU Lesser General Public License for more 
23
-- details.                                                     
24
--                                                              
25
-- You should have received a copy of the GNU Lesser General    
26
-- Public License along with this source; if not, download it   
27
-- from http://www.opencores.org/lgpl.shtml
28
--------------------------------------------------------------------------------
29
 
30
library ieee;
31
use ieee.std_logic_1164.all;
32
use ieee.numeric_std.all;
33
use work.light52_pkg.all;
34
 
35
package @obj_pkg_name@ is
36
 
37
-- Size of XCODE memory in bytes.
38
constant XCODE_SIZE : natural := @xcode_size@;
39
-- Size of XDATA memory in bytes.
40
constant XDATA_SIZE : natural := @xdata_size@;
41
 
42
-- Object code initialization constant.
43
constant object_code : t_obj_code(0 to @obj_size@) := (
44
    @obj_bytes@
45
    );
46
 
47
 
48
end package @obj_pkg_name@;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.