OpenCores
URL https://opencores.org/ocsvn/light8080/light8080/trunk

Subversion Repositories light8080

[/] [light8080/] [trunk/] [sw/] [tb/] [soc_tb/] [obj_code_pkg.vhdl] - Blame information for rev 89

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 74 ja_rd
-- obj_code_pkg -- Object code in VHDL constant table for BRAM initialization.
2
-- Generated automatically with script 'build_rom.py'.
3
 
4
library ieee;
5
use ieee.std_logic_1164.all;
6
use ieee.numeric_std.all;
7
use work.l80pkg.all;
8
 
9
package obj_code_pkg is
10
 
11
constant obj_code : obj_code_t(0 to 372) := (
12
    X"c3", X"60", X"00", X"00", X"00", X"00", X"00", X"00",
13
    X"c3", X"12", X"01", X"00", X"00", X"00", X"00", X"00",
14
    X"fb", X"c9", X"00", X"00", X"00", X"00", X"00", X"00",
15
    X"c3", X"1e", X"01", X"00", X"00", X"00", X"00", X"00",
16
    X"fb", X"c9", X"00", X"00", X"00", X"00", X"00", X"00",
17
    X"fb", X"c9", X"00", X"00", X"00", X"00", X"00", X"00",
18
    X"fb", X"c9", X"00", X"00", X"00", X"00", X"00", X"00",
19
    X"c3", X"2a", X"01", X"00", X"00", X"00", X"00", X"00",
20
    X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
21
    X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
22
    X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
23
    X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
24
    X"31", X"da", X"01", X"21", X"74", X"01", X"22", X"75",
25
    X"01", X"21", X"7a", X"01", X"22", X"77", X"01", X"3e",
26
    X"00", X"32", X"79", X"01", X"3e", X"00", X"d3", X"86",
27
    X"3e", X"0b", X"d3", X"88", X"fb", X"21", X"02", X"01",
28
    X"cd", X"66", X"01", X"3e", X"01", X"32", X"73", X"01",
29
    X"3e", X"01", X"d3", X"86", X"3a", X"73", X"01", X"fe",
30
    X"04", X"ca", X"9c", X"00", X"fe", X"01", X"c2", X"fb",
31
    X"00", X"c3", X"8c", X"00", X"3e", X"00", X"d3", X"86",
32
    X"3e", X"01", X"32", X"73", X"01", X"3e", X"02", X"d3",
33
    X"86", X"3a", X"73", X"01", X"fe", X"02", X"ca", X"b9",
34
    X"00", X"fe", X"01", X"c2", X"fb", X"00", X"c3", X"a9",
35
    X"00", X"af", X"d3", X"86", X"3e", X"01", X"32", X"73",
36
    X"01", X"3e", X"03", X"d3", X"86", X"3a", X"73", X"01",
37
    X"fe", X"05", X"ca", X"d5", X"00", X"fe", X"01", X"c2",
38
    X"fb", X"00", X"c3", X"c5", X"00", X"af", X"d3", X"86",
39
    X"3a", X"79", X"01", X"fe", X"0f", X"c2", X"d8", X"00",
40
    X"21", X"7a", X"01", X"11", X"02", X"01", X"1a", X"fe",
41
    X"24", X"ca", X"f5", X"00", X"be", X"c2", X"fb", X"00",
42
    X"23", X"13", X"c3", X"e6", X"00", X"3e", X"80", X"d3",
43
    X"86", X"f3", X"76", X"3e", X"40", X"d3", X"86", X"c3",
44
    X"f9", X"00", X"0a", X"0d", X"0a", X"48", X"65", X"6c",
45
    X"6c", X"6f", X"20", X"57", X"6f", X"72", X"6c", X"64",
46
    X"21", X"24", X"f5", X"3a", X"73", X"01", X"07", X"07",
47
    X"32", X"73", X"01", X"f1", X"fb", X"c9", X"f5", X"3a",
48
    X"73", X"01", X"c6", X"01", X"32", X"73", X"01", X"f1",
49
    X"fb", X"c9", X"e5", X"f5", X"db", X"81", X"e6", X"20",
50
    X"ca", X"48", X"01", X"3e", X"20", X"d3", X"81", X"db",
51
    X"80", X"2a", X"77", X"01", X"77", X"23", X"22", X"77",
52
    X"01", X"3a", X"79", X"01", X"3c", X"32", X"79", X"01",
53
    X"db", X"81", X"e6", X"10", X"ca", X"62", X"01", X"3e",
54
    X"10", X"d3", X"81", X"2a", X"75", X"01", X"7e", X"fe",
55
    X"24", X"ca", X"62", X"01", X"23", X"22", X"75", X"01",
56
    X"d3", X"80", X"f1", X"e1", X"fb", X"c9", X"7e", X"23",
57
    X"22", X"75", X"01", X"fe", X"24", X"ca", X"72", X"01",
58
    X"d3", X"80", X"c9", X"00", X"24"
59
);
60
 
61
end package obj_code_pkg;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.