1 |
74 |
ja_rd |
-- obj_code_pkg -- Object code in VHDL constant table for BRAM initialization.
|
2 |
|
|
-- Generated automatically with script 'build_rom.py'.
|
3 |
|
|
|
4 |
|
|
library ieee;
|
5 |
|
|
use ieee.std_logic_1164.all;
|
6 |
|
|
use ieee.numeric_std.all;
|
7 |
|
|
use work.l80pkg.all;
|
8 |
|
|
|
9 |
|
|
package obj_code_pkg is
|
10 |
|
|
|
11 |
|
|
constant obj_code : obj_code_t(0 to 313) := (
|
12 |
|
|
X"c3", X"60", X"00", X"00", X"00", X"00", X"00", X"00",
|
13 |
|
|
X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
|
14 |
|
|
X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
|
15 |
|
|
X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
|
16 |
|
|
X"c6", X"01", X"fb", X"c9", X"00", X"00", X"00", X"00",
|
17 |
|
|
X"3c", X"fb", X"c9", X"00", X"00", X"00", X"00", X"00",
|
18 |
|
|
X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
|
19 |
|
|
X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
|
20 |
|
|
X"3c", X"00", X"00", X"00", X"ef", X"00", X"00", X"00",
|
21 |
|
|
X"23", X"00", X"00", X"00", X"3e", X"42", X"00", X"00",
|
22 |
|
|
X"21", X"34", X"12", X"00", X"c3", X"2f", X"01", X"00",
|
23 |
|
|
X"cd", X"34", X"01", X"00", X"cd", X"37", X"01", X"00",
|
24 |
|
|
X"31", X"7a", X"01", X"3e", X"13", X"e7", X"fe", X"14",
|
25 |
|
|
X"c2", X"2a", X"01", X"3e", X"00", X"d3", X"10", X"fb",
|
26 |
|
|
X"3e", X"14", X"d3", X"11", X"3e", X"27", X"00", X"00",
|
27 |
|
|
X"00", X"00", X"fe", X"28", X"c2", X"2a", X"01", X"3e",
|
28 |
|
|
X"01", X"d3", X"10", X"fb", X"3e", X"14", X"d3", X"11",
|
29 |
|
|
X"3e", X"20", X"00", X"00", X"00", X"00", X"fe", X"21",
|
30 |
|
|
X"c2", X"2a", X"01", X"21", X"ff", X"13", X"3e", X"02",
|
31 |
|
|
X"d3", X"10", X"fb", X"3e", X"04", X"d3", X"11", X"00",
|
32 |
|
|
X"00", X"7d", X"fe", X"00", X"c2", X"2a", X"01", X"7c",
|
33 |
|
|
X"fe", X"14", X"c2", X"2a", X"01", X"3e", X"03", X"d3",
|
34 |
|
|
X"10", X"fb", X"3e", X"04", X"d3", X"11", X"00", X"00",
|
35 |
|
|
X"fe", X"42", X"c2", X"2a", X"01", X"3e", X"04", X"d3",
|
36 |
|
|
X"10", X"fb", X"3e", X"04", X"d3", X"11", X"00", X"00",
|
37 |
|
|
X"7c", X"fe", X"12", X"c2", X"2a", X"01", X"7d", X"fe",
|
38 |
|
|
X"34", X"c2", X"2a", X"01", X"3e", X"05", X"d3", X"10",
|
39 |
|
|
X"fb", X"3e", X"04", X"d3", X"11", X"00", X"00", X"fe",
|
40 |
|
|
X"79", X"c2", X"2a", X"01", X"3e", X"06", X"d3", X"10",
|
41 |
|
|
X"fb", X"3e", X"04", X"d3", X"11", X"3c", X"00", X"fe",
|
42 |
|
|
X"05", X"c2", X"2a", X"01", X"78", X"fe", X"19", X"c2",
|
43 |
|
|
X"2a", X"01", X"f3", X"3e", X"07", X"d3", X"10", X"3e",
|
44 |
|
|
X"04", X"d3", X"11", X"00", X"00", X"00", X"3e", X"50",
|
45 |
|
|
X"d3", X"12", X"3e", X"01", X"d3", X"10", X"fb", X"3e",
|
46 |
|
|
X"14", X"d3", X"11", X"3e", X"27", X"00", X"00", X"3c",
|
47 |
|
|
X"00", X"00", X"3c", X"00", X"00", X"00", X"00", X"00",
|
48 |
|
|
X"fe", X"2b", X"c2", X"2a", X"01", X"3e", X"55", X"d3",
|
49 |
|
|
X"20", X"76", X"3e", X"aa", X"d3", X"20", X"76", X"3e",
|
50 |
|
|
X"79", X"c3", X"df", X"00", X"06", X"19", X"c9", X"c3",
|
51 |
|
|
X"2a", X"01"
|
52 |
|
|
);
|
53 |
|
|
|
54 |
|
|
end package obj_code_pkg;
|