OpenCores
URL https://opencores.org/ocsvn/light8080/light8080/trunk

Subversion Repositories light8080

[/] [light8080/] [trunk/] [vhdl/] [light8080.vhdl] - Blame information for rev 39

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 ja_rd
--##############################################################################
2 10 ja_rd
-- light8080 : Intel 8080 binary compatible core
3 2 ja_rd
--##############################################################################
4 10 ja_rd
-- v1.1    (20 sep 2008) Microcode bug in INR fixed.
5
-- v1.0    (05 nov 2007) First release. Jose A. Ruiz.
6
--
7 19 ja_rd
-- This file and all the light8080 project files are freeware (See COPYING.TXT)
8 3 ja_rd
--##############################################################################
9 19 ja_rd
-- (See timing diagrams at bottom of file. More comprehensive explainations can 
10
-- be found in the design notes)
11 10 ja_rd
--##############################################################################
12 2 ja_rd
 
13
library IEEE;
14
use IEEE.STD_LOGIC_1164.ALL;
15
use IEEE.STD_LOGIC_ARITH.ALL;
16
use IEEE.STD_LOGIC_UNSIGNED.ALL;
17
 
18
--##############################################################################
19
-- vma :      enable a memory or io r/w access.
20
-- io :       access in progress is io (and not memory) 
21
-- rd :       read memory or io 
22
-- wr :       write memory or io
23
-- data_out : data output
24
-- addr_out : memory and io address
25
-- data_in :  data input
26
-- halt :     halt status (1 when in halt state)
27
-- inte :     interrupt status (1 when enabled)
28
-- intr :     interrupt request
29
-- inta :     interrupt acknowledge
30
-- reset :    synchronous reset
31
-- clk :      clock
32 19 ja_rd
--
33
-- (see timing diagrams at bottom of file)
34 2 ja_rd
--##############################################################################
35
entity light8080 is
36
    Port (
37
            addr_out :  out std_logic_vector(15 downto 0);
38
 
39
            inta :      out std_logic;
40
            inte :      out std_logic;
41
            halt :      out std_logic;
42
            intr :      in std_logic;
43
 
44
            vma :       out std_logic;
45
            io :        out std_logic;
46
            rd :        out std_logic;
47
            wr :        out std_logic;
48 19 ja_rd
            fetch :     out std_logic;
49 2 ja_rd
            data_in :   in std_logic_vector(7 downto 0);
50
            data_out :  out std_logic_vector(7 downto 0);
51
 
52
            clk :       in std_logic;
53
            reset :     in std_logic );
54
end light8080;
55
 
56
--##############################################################################
57 10 ja_rd
-- All memory and io accesses are synchronous (rising clock edge). Signal vma 
58
-- works as the master memory and io synchronous enable. More specifically:
59 2 ja_rd
--
60
--    * All memory/io control signals (io,rd,wr) are valid only when vma is 
61
--      high. They never activate when vms is inactive. 
62
--    * Signals data_out and address are only valid when vma='1'. The high 
63 10 ja_rd
--      address byte is 0x00 for all io accesses.
64
--    * Signal data_in should be valid by the end of the cycle after vma='1', 
65
--      data is clocked in by the rising clock edge.
66 2 ja_rd
--
67 10 ja_rd
-- All signals are assumed to be synchronous to the master clock. Prevention of
68
-- metastability, if necessary, is up to you.
69
-- 
70
-- Signal reset needs to be active for just 1 clock cycle (it is sampled on a 
71
-- positive clock edge and is subject to setup and hold times).
72 4 ja_rd
-- Once reset is deasserted, the first fetch at address 0x0000 will happen 4
73 2 ja_rd
-- cycles later.
74
--
75
-- Signal intr is sampled on all positive clock edges. If asserted when inte is
76 4 ja_rd
-- high, interrupts will be disabled, inta will be asserted high and a fetch 
77 39 ja_rd
-- cycle will occur immediately after the current instruction ends execution,
78
-- except if intr was asserted at the last cycle of an instruction. In that case
79
-- it will be honored after the next instruction ends.
80
-- The fetched instruction will be executed normally, except that PC will not 
81
-- be valid in any subsequent fetch cycles of the same instruction, 
82 10 ja_rd
-- and will not be incremented (In practice, the same as the original 8080).
83 39 ja_rd
-- inta will remain high for the duration of the fetched instruction, including
84
-- fetch and execution time (in the original 8080 it was high only for the 
85
-- opcode fetch cycle). 
86 10 ja_rd
-- PC will not be autoincremented while inta is high, but it can be explicitly 
87 39 ja_rd
-- modified (e.g. RST, CALL, etc.). Again, the same as the original.
88 2 ja_rd
-- Interrupts will be disabled upon assertion of inta, and remain disabled 
89 4 ja_rd
-- until explicitly enabled by the program (as in the original).
90 39 ja_rd
-- If intr is asserted when inte is low, the interrupt will not be attended but
91
-- it will be registered in an int_pending flag, so it will be honored when 
92
-- interrupts are enabled.
93
-- 
94 2 ja_rd
--
95 4 ja_rd
-- The above means that any instruction can be supplied in an inta cycle, 
96 10 ja_rd
-- either single byte or multibyte. See the design notes.
97 2 ja_rd
--##############################################################################
98
 
99
architecture microcoded of light8080 is
100
 
101
-- addr_low: low byte of address
102
signal addr_low :     std_logic_vector(7 downto 0);
103
-- IR: instruction register. some bits left unused.  
104
signal IR :           std_logic_vector(7 downto 0);
105
-- s_field: IR field, sss source reg code
106
signal s_field :      std_logic_vector(2 downto 0);
107
-- d_field: IR field, ddd destination reg code
108
signal d_field :      std_logic_vector(2 downto 0);
109
-- p_field: IR field, pp 16-bit reg pair code
110
signal p_field :      std_logic_vector(1 downto 0);
111
-- rbh: 1 when p_field=11, used in reg bank addressing for 'special' regs
112
signal rbh :          std_logic; -- 1 when P=11 (special case)  
113
-- alu_op: uinst field, ALU operation code 
114
signal alu_op :       std_logic_vector(3 downto 0);
115
-- DI: data input to ALU block from data_in, unregistered
116
signal DI :           std_logic_vector(7 downto 0);
117
-- uc_addr: microcode (ucode) address 
118
signal uc_addr :      std_logic_vector(7 downto 0);
119
-- next_uc_addr: computed next microcode address (uaddr++/jump/ret/fetch)
120
signal next_uc_addr : std_logic_vector(8 downto 0);
121
-- uc_jmp_addr: uinst field, absolute ucode jump address
122
signal uc_jmp_addr :  std_logic_vector(7 downto 0);
123
-- uc_ret_address: ucode return address saved in previous jump
124
signal uc_ret_addr :  std_logic_vector(7 downto 0);
125
-- addr_plus_1: uaddr + 1
126
signal addr_plus_1 :  std_logic_vector(7 downto 0);
127
-- do_reset: reset, delayed 1 cycle -- used to reset the microcode sequencer
128
signal do_reset :     std_logic;
129
 
130
-- uc_flags1: uinst field, encoded flag of group 1 (see ucode file)
131
signal uc_flags1 :    std_logic_vector(2 downto 0);
132
-- uc_flags2: uinst field, encoded flag of group 2 (see ucode file)
133
signal uc_flags2 :    std_logic_vector(2 downto 0);
134
-- uc_addr_sel: selection of next uc_addr, composition of 4 flags
135
signal uc_addr_sel :  std_logic_vector(3 downto 0);
136
-- NOTE: see microcode file for information on flags
137
signal uc_jsr :       std_logic;  -- uinst field, decoded 'jsr' flag
138
signal uc_tjsr :      std_logic;  -- uinst field, decoded 'tjsr' flag
139
signal uc_decode :    std_logic;  -- uinst field, decoded 'decode' flag
140
signal uc_end :       std_logic;  -- uinst field, decoded 'end' flag
141
signal condition_reg :std_logic;  -- registered tjst condition
142
-- condition: tjsr condition (computed ccc condition from '80 instructions)
143
signal condition :    std_logic;
144
-- condition_sel: IR field, ccc condition code
145
signal condition_sel :std_logic_vector(2 downto 0);
146
signal uc_do_jmp :    std_logic;  -- uinst jump (jsr/tjsr) flag, pipelined
147
signal uc_do_ret :    std_logic;  -- ret flag, pipelined
148
signal uc_halt_flag : std_logic;  -- uinst field, decoded 'halt' flag
149
signal uc_halt :      std_logic;  -- halt command
150
signal halt_reg :     std_logic;  -- halt status reg, output as 'halt' signal
151
signal uc_ei :        std_logic;  -- uinst field, decoded 'ei' flag
152
signal uc_di :        std_logic;  -- uinst field, decoded 'ei' flag
153
signal inte_reg :     std_logic;  -- inte status reg, output as 'inte' signal
154
signal int_pending :  std_logic;  -- intr requested, inta not active yet
155
signal inta_reg :     std_logic;  -- inta status reg, output as 'inta'
156
signal clr_t1 :       std_logic;  -- uinst field, explicitly erase T1
157
signal do_clr_t1 :    std_logic;  -- clr_t1 pipelined
158
signal clr_t2 :       std_logic;  -- uinst field, explicitly erase T2
159
signal do_clr_t2 :    std_logic;  -- clr_t2 pipelined
160
signal ucode :        std_logic_vector(31 downto 0); -- microcode word
161
signal ucode_field2 : std_logic_vector(24 downto 0); -- pipelined microcode
162
 
163
-- microcode ROM : see design notes and microcode source file 
164
type t_rom is array (0 to 511) of std_logic_vector(31 downto 0);
165
 
166
signal rom : t_rom := (
167
"00000000000000000000000000000000", -- 000
168
"00000000000001001000000001000100", -- 001
169
"00000000000001000000000001000100", -- 002
170
"10111101101001001000000001001101", -- 003
171
"10110110101001000000000001001101", -- 004
172
"00100000000000000000000000000000", -- 005
173
"00000000000000000000000000000000", -- 006
174
"11100100000000000000000000000000", -- 007
175
"00000000101010000000000000000000", -- 008
176
"00000100000100000000000001010111", -- 009
177
"00001000000000000000110000011001", -- 00a
178
"00000100000100000000000001010111", -- 00b
179
"00000000101010000000000010010111", -- 00c
180
"00001000000000000000110000011100", -- 00d
181
"00001000000000000000110000011111", -- 00e
182
"00000100000100000000000001010111", -- 00f
183
"00001000000000000000110000011111", -- 010
184
"00001000000000000000110000011100", -- 011
185
"00001000000000000000110000011111", -- 012
186
"00000000000110001000000001010111", -- 013
187
"00001000000000000000110000011111", -- 014
188
"00000100000110000000000001010111", -- 015
189
"00001000000000000000110000101110", -- 016
190
"00001000000000000000110000100010", -- 017
191
"00000100000000111000000001010111", -- 018
192
"00001000000000000000110000101110", -- 019
193
"00000000101000111000000010010111", -- 01a
194
"00001000000000000000110000100101", -- 01b
195
"00001000000000000000110000101110", -- 01c
196
"10111101101001100000000001001101", -- 01d
197
"10110110101001101000000001001101", -- 01e
198
"00000000100000101000000001010111", -- 01f
199
"00001000000000000000110000100010", -- 020
200
"00000100000000100000000001010111", -- 021
201
"00001000000000000000110000101110", -- 022
202
"00000000101000101000000010010111", -- 023
203
"10111101101001100000000001001101", -- 024
204
"10111010101001101000000001001101", -- 025
205
"00000000101000100000000010010111", -- 026
206
"00001000000000000000110000100101", -- 027
207
"00001000000000000000110000101000", -- 028
208
"00000100000000111000000001010111", -- 029
209
"00000000101000111000000010010111", -- 02a
210
"00001000000000000000110000101011", -- 02b
211
"00000000101000010000000000000000", -- 02c
212
"00000000000001010000000001010111", -- 02d
213
"00000000101000011000000000000000", -- 02e
214
"00000000000001011000000001010111", -- 02f
215
"00000000101000100000000000000000", -- 030
216
"00000000000000010000000001010111", -- 031
217
"00000000101000101000000000000000", -- 032
218
"00000000000000011000000001010111", -- 033
219
"00000000101001010000000000000000", -- 034
220
"00000000000000100000000001010111", -- 035
221
"00000000101001011000000000000000", -- 036
222
"00000100000000101000000001010111", -- 037
223
"00001000000000000000110000011111", -- 038
224
"00000100011000111000001101001100", -- 039
225
"00001000000000000000110000011111", -- 03a
226
"00000100011000111000001101001101", -- 03b
227
"00001000000000000000110000011111", -- 03c
228
"00000100011000111000001101001110", -- 03d
229
"00001000000000000000110000011111", -- 03e
230
"00000100011000111000001101001111", -- 03f
231
"00001000000000000000110000011111", -- 040
232
"00000100011000111000001101000100", -- 041
233
"00001000000000000000110000011111", -- 042
234
"00000100011000111000001101000101", -- 043
235
"00001000000000000000110000011111", -- 044
236
"00000100011000111000001101000110", -- 045
237
"00001000000000000000110000011111", -- 046
238
"00000100011000111000001110001110", -- 047
239
"00000000101010000000000000000000", -- 048
240
"00000100011000111000001101001100", -- 049
241
"00000000101010000000000000000000", -- 04a
242
"00000100011000111000001101001101", -- 04b
243
"00000000101010000000000000000000", -- 04c
244
"00000100011000111000001101001110", -- 04d
245
"00000000101010000000000000000000", -- 04e
246
"00000100011000111000001101001111", -- 04f
247
"00000000101010000000000000000000", -- 050
248
"00000100011000111000001101000100", -- 051
249
"00000000101010000000000000000000", -- 052
250
"00000100011000111000001101000101", -- 053
251
"00000000101010000000000000000000", -- 054
252
"00000100011000111000001101000110", -- 055
253
"00000000101010000000000000000000", -- 056
254
"00000100011000111000001110001110", -- 057
255
"00001000000000000000110000011001", -- 058
256
"00000100011000111000001101001100", -- 059
257
"00001000000000000000110000011001", -- 05a
258
"00000100011000111000001101001101", -- 05b
259
"00001000000000000000110000011001", -- 05c
260
"00000100011000111000001101001110", -- 05d
261
"00001000000000000000110000011001", -- 05e
262
"00000100011000111000001101001111", -- 05f
263
"00001000000000000000110000011001", -- 060
264
"00000100011000111000001101000100", -- 061
265
"00001000000000000000110000011001", -- 062
266
"00000100011000111000001101000101", -- 063
267
"00001000000000000000110000011001", -- 064
268
"00000100011000111000001101000110", -- 065
269
"00001000000000000000110000011001", -- 066
270
"00000100011000111000001110001110", -- 067
271
"10111100101100000000001001001101", -- 068
272
"00000100000000000000000000000000", -- 069
273
"00001000000000000000110000011001", -- 06a
274 6 ja_rd
"10111100000000000000001010001101", -- 06b
275 2 ja_rd
"00001000000000000000110000011100", -- 06c
276
"10111100011100000000001001001111", -- 06d
277
"00000100000000000000000000000000", -- 06e
278
"00001000000000000000110000011001", -- 06f
279
"11000000000000000000000000000000", -- 070
280
"10111100011001010000001010001111", -- 071
281
"00001000000000000000110000011100", -- 072
282
"10111100101110001000000001001101", -- 073
283
"10100100101110000000000001001101", -- 074
284
"10111100011110001000000001001111", -- 075
285
"10100100011110000000000001001111", -- 076
286
"00000000011110001000000000000000", -- 077
287
"00000000101000101000000101001100", -- 078
288
"00000000011110000000000000000000", -- 079
289
"00000100101000100000000101001101", -- 07a
290
"00000000101000111000000010101000", -- 07b
291
"00000100101000111000001101101000", -- 07c
292
"00000100101000111000000101000000", -- 07d
293
"00000100101000111000000101000001", -- 07e
294
"00000100101000111000000101000010", -- 07f
295
"00000100101000111000000101000011", -- 080
296
"00000100101000111000000001000111", -- 081
297
"00000100000000000000000100101100", -- 082
298
"00000100000000000000000100101101", -- 083
299
"00001000000000000000110000101110", -- 084
300
"00000000101001100000000000000000", -- 085
301
"00000000000001001000000001010111", -- 086
302
"00000000101001101000000000000000", -- 087
303
"00000100000001000000000001010111", -- 088
304
"00000100000000000000000000000000", -- 089
305
"00001000000000000000110000101110", -- 08a
306
"00010000000000000000100000000101", -- 08b
307
"00001000000000000000110000101110", -- 08c
308
"11000000101001000000000010010111", -- 08d
309
"00001000000000000000110000110100", -- 08e
310
"11000000101001001000000010010111", -- 08f
311
"00001000000000000000110000110100", -- 090
312
"00000000101001100000000000000000", -- 091
313
"00000000000001001000000001010111", -- 092
314
"00000000101001101000000000000000", -- 093
315
"00000100000001000000000001010111", -- 094
316
"00001000000000000000110000101110", -- 095
317
"00010000000000000000100000001101", -- 096
318
"00001000000000000000110000111001", -- 097
319
"00000000000001001000000001010111", -- 098
320
"00001000000000000000110000111001", -- 099
321
"00000100000001000000000001010111", -- 09a
322
"00010000000000000000100000010111", -- 09b
323
"11000000101001000000000010010111", -- 09c
324
"00001000000000000000110000110100", -- 09d
325
"11000000101001001000000010010111", -- 09e
326
"00001000000000000000110000110100", -- 09f
327
"11000000000001001000000001011111", -- 0a0
328
"00000100000001000000000001000100", -- 0a1
329
"00000000101000101000000000000000", -- 0a2
330
"00000000000001001000000001010111", -- 0a3
331
"00000000101000100000000000000000", -- 0a4
332
"00000100000001000000000001010111", -- 0a5
333
"11000000101110000000000010010111", -- 0a6
334
"00001000000000000000110000110100", -- 0a7
335
"11000000101110001000000010010111", -- 0a8
336
"00001000000000000000110000110100", -- 0a9
337
"00000100000000000000000000000000", -- 0aa
338
"11000000101000111000000010010111", -- 0ab
339
"00001000000000000000110000110100", -- 0ac
340
"11000000000000000000000010110000", -- 0ad
341
"00001000000000000000110000110100", -- 0ae
342
"00000100000000000000000000000000", -- 0af
343
"00001000000000000000110000111001", -- 0b0
344
"00000000000110001000000001010111", -- 0b1
345
"00001000000000000000110000111001", -- 0b2
346
"00000100000110000000000001010111", -- 0b3
347
"00001000000000000000110000111001", -- 0b4
348
"00000000000000110000001101010111", -- 0b5
349
"00001000000000000000110000111001", -- 0b6
350
"00000100000000111000000001010111", -- 0b7
351
"00001000000000000000110000111001", -- 0b8
352
"00000000000001100000000001010111", -- 0b9
353
"00001000000000000000110000111001", -- 0ba
354
"00000000000001101000000001010111", -- 0bb
355
"11000000101000100000000010010111", -- 0bc
356
"00001000000000000000110000110100", -- 0bd
357
"11000000101000101000000010010111", -- 0be
358
"00001000000000000000110000110100", -- 0bf
359
"00000000101001100000000000000000", -- 0c0
360
"00000000000000101000000001010111", -- 0c1
361
"00000000101001101000000000000000", -- 0c2
362
"00000100000000100000000001010111", -- 0c3
363
"00000000101000101000000000000000", -- 0c4
364
"00000000000001111000000001010111", -- 0c5
365
"00000000101000100000000000000000", -- 0c6
366
"00000100000001110000000001010111", -- 0c7
367
"01100100000000000000000000000000", -- 0c8
368
"01000100000000000000000000000000", -- 0c9
369
"00000000000001101000000001010111", -- 0ca
370
"00001000000000000000110000011111", -- 0cb
371
"00000000000001100000000001010111", -- 0cc
372
"00000000000000000000000000000000", -- 0cd
373
"00000001101001100000000000000000", -- 0ce
374
"10010110101001101000000000000000", -- 0cf
375
"00000100100000111000000001010111", -- 0d0
376
"00000000000001101000000001010111", -- 0d1
377
"00001000000000000000110000011111", -- 0d2
378
"00000000000001100000000001010111", -- 0d3
379
"00000000101000111000000010010111", -- 0d4
380
"00000001101001100000000000000000", -- 0d5
381
"10011010101001101000000000000000", -- 0d6
382
"00000100000000000000000000000000", -- 0d7
383
"11100100000000000000000000000000", -- 0d8
384
"00000001101000101000000000000000", -- 0d9
385
"00010110101000100000000000000000", -- 0da
386
"00001100100001010000000001010111", -- 0db
387
"00000001101000101000000000000000", -- 0dc
388
"00011010101000100000000000000000", -- 0dd
389
"00000100000000000000000000000000", -- 0de
390
"10111101101001001000000001001101", -- 0df
391
"10110110101001000000000001001101", -- 0e0
392
"00001100100000000000000010010111", -- 0e1
393
"00000001101001100000000000000000", -- 0e2
394
"00010110101001101000000000000000", -- 0e3
395
"00001100100000000000000000000000", -- 0e4
396
"00000001101001100000000000000000", -- 0e5
397
"00011010101001101000000000000000", -- 0e6
398
"00000100000000000000000000000000", -- 0e7
399
"00000001101110001000000000000000", -- 0e8
400
"00010110101110000000000000000000", -- 0e9
401
"00001100100000000000000000000000", -- 0ea
402
"00000001101110001000000000000000", -- 0eb
403
"00011010101110000000000000000000", -- 0ec
404
"00000100000000000000000000000000", -- 0ed
405
"10111101101001001000000001001101", -- 0ee
406
"10110110101001000000000001001101", -- 0ef
407
"00000000100001100000000001010111", -- 0f0
408
"10111101101001001000000001001101", -- 0f1
409
"10110110101001000000000001001101", -- 0f2
410
"00001100100001101000000001010111", -- 0f3
411
"10111100011001111000000001001111", -- 0f4
412
"10100000011001110000000001001111", -- 0f5
413
"00000001101001111000000000000000", -- 0f6
414
"00011010101001110000000000000000", -- 0f7
415
"00001100000000000000000000000000", -- 0f8
416
"10111101101001111000000001001101", -- 0f9
417
"10110110101001110000000001001101", -- 0fa
418
"00001100100000000000000000000000", -- 0fb
419
"00000100000000000000000000000000", -- 0fc
420
"00000100000000000000000000000000", -- 0fd
421
"00000100000000000000000000000000", -- 0fe
422
"00000100000000000000000000000000", -- 0ff
423
"00001000000000000000100000001001", -- 100
424
"00001000000000000000000000010010", -- 101
425
"00001000000000000000000000101010", -- 102
426
"00001000000000000000010000110011", -- 103
427
"00001000000000000000010000101000", -- 104
428
"00001000000000000000010000101101", -- 105
429
"00001000000000000000000000001110", -- 106
430
"00001000000000000000010000111101", -- 107
431
"00001000000000000000000000000000", -- 108
432
"00001000000000000000010000110111", -- 109
433
"00001000000000000000000000101000", -- 10a
434
"00001000000000000000010000110101", -- 10b
435
"00001000000000000000010000101000", -- 10c
436
"00001000000000000000010000101101", -- 10d
437
"00001000000000000000000000001110", -- 10e
438
"00001000000000000000010000111110", -- 10f
439
"00001000000000000000000000000000", -- 110
440
"00001000000000000000000000010010", -- 111
441
"00001000000000000000000000101010", -- 112
442
"00001000000000000000010000110011", -- 113
443
"00001000000000000000010000101000", -- 114
444
"00001000000000000000010000101101", -- 115
445
"00001000000000000000000000001110", -- 116
446
"00001000000000000000010000111111", -- 117
447
"00001000000000000000000000000000", -- 118
448
"00001000000000000000010000110111", -- 119
449
"00001000000000000000000000101000", -- 11a
450
"00001000000000000000010000110101", -- 11b
451
"00001000000000000000010000101000", -- 11c
452
"00001000000000000000010000101101", -- 11d
453
"00001000000000000000000000001110", -- 11e
454
"00001000000000000000100000000000", -- 11f
455
"00001000000000000000000000000000", -- 120
456
"00001000000000000000000000010010", -- 121
457
"00001000000000000000000000100010", -- 122
458
"00001000000000000000010000110011", -- 123
459
"00001000000000000000010000101000", -- 124
460
"00001000000000000000010000101101", -- 125
461
"00001000000000000000000000001110", -- 126
462
"00001000000000000000010000111011", -- 127
463
"00001000000000000000000000000000", -- 128
464
"00001000000000000000010000110111", -- 129
465
"00001000000000000000000000011100", -- 12a
466
"00001000000000000000010000110101", -- 12b
467
"00001000000000000000010000101000", -- 12c
468
"00001000000000000000010000101101", -- 12d
469
"00001000000000000000000000001110", -- 12e
470
"00001000000000000000100000000001", -- 12f
471
"00001000000000000000000000000000", -- 130
472
"00001000000000000000000000010010", -- 131
473
"00001000000000000000000000011001", -- 132
474
"00001000000000000000010000110011", -- 133
475
"00001000000000000000010000101010", -- 134
476
"00001000000000000000010000101111", -- 135
477
"00001000000000000000000000010000", -- 136
478
"00001000000000000000100000000011", -- 137
479
"00001000000000000000000000000000", -- 138
480
"00001000000000000000010000110111", -- 139
481
"00001000000000000000000000010110", -- 13a
482
"00001000000000000000010000110101", -- 13b
483
"00001000000000000000010000101000", -- 13c
484
"00001000000000000000010000101101", -- 13d
485
"00001000000000000000000000001110", -- 13e
486
"00001000000000000000100000000010", -- 13f
487
"00001000000000000000000000001000", -- 140
488
"00001000000000000000000000001000", -- 141
489
"00001000000000000000000000001000", -- 142
490
"00001000000000000000000000001000", -- 143
491
"00001000000000000000000000001000", -- 144
492
"00001000000000000000000000001000", -- 145
493
"00001000000000000000000000001010", -- 146
494
"00001000000000000000000000001000", -- 147
495
"00001000000000000000000000001000", -- 148
496
"00001000000000000000000000001000", -- 149
497
"00001000000000000000000000001000", -- 14a
498
"00001000000000000000000000001000", -- 14b
499
"00001000000000000000000000001000", -- 14c
500
"00001000000000000000000000001000", -- 14d
501
"00001000000000000000000000001010", -- 14e
502
"00001000000000000000000000001000", -- 14f
503
"00001000000000000000000000001000", -- 150
504
"00001000000000000000000000001000", -- 151
505
"00001000000000000000000000001000", -- 152
506
"00001000000000000000000000001000", -- 153
507
"00001000000000000000000000001000", -- 154
508
"00001000000000000000000000001000", -- 155
509
"00001000000000000000000000001010", -- 156
510
"00001000000000000000000000001000", -- 157
511
"00001000000000000000000000001000", -- 158
512
"00001000000000000000000000001000", -- 159
513
"00001000000000000000000000001000", -- 15a
514
"00001000000000000000000000001000", -- 15b
515
"00001000000000000000000000001000", -- 15c
516
"00001000000000000000000000001000", -- 15d
517
"00001000000000000000000000001010", -- 15e
518
"00001000000000000000000000001000", -- 15f
519
"00001000000000000000000000001000", -- 160
520
"00001000000000000000000000001000", -- 161
521
"00001000000000000000000000001000", -- 162
522
"00001000000000000000000000001000", -- 163
523
"00001000000000000000000000001000", -- 164
524
"00001000000000000000000000001000", -- 165
525
"00001000000000000000000000001010", -- 166
526
"00001000000000000000000000001000", -- 167
527
"00001000000000000000000000001000", -- 168
528
"00001000000000000000000000001000", -- 169
529
"00001000000000000000000000001000", -- 16a
530
"00001000000000000000000000001000", -- 16b
531
"00001000000000000000000000001000", -- 16c
532
"00001000000000000000000000001000", -- 16d
533
"00001000000000000000000000001010", -- 16e
534
"00001000000000000000000000001000", -- 16f
535
"00001000000000000000000000001100", -- 170
536
"00001000000000000000000000001100", -- 171
537
"00001000000000000000000000001100", -- 172
538
"00001000000000000000000000001100", -- 173
539
"00001000000000000000000000001100", -- 174
540
"00001000000000000000000000001100", -- 175
541
"00001000000000000000110000011000", -- 176
542
"00001000000000000000000000001100", -- 177
543
"00001000000000000000000000001000", -- 178
544
"00001000000000000000000000001000", -- 179
545
"00001000000000000000000000001000", -- 17a
546
"00001000000000000000000000001000", -- 17b
547
"00001000000000000000000000001000", -- 17c
548
"00001000000000000000000000001000", -- 17d
549
"00001000000000000000000000001010", -- 17e
550
"00001000000000000000000000001000", -- 17f
551
"00001000000000000000010000001000", -- 180
552
"00001000000000000000010000001000", -- 181
553
"00001000000000000000010000001000", -- 182
554
"00001000000000000000010000001000", -- 183
555
"00001000000000000000010000001000", -- 184
556
"00001000000000000000010000001000", -- 185
557
"00001000000000000000010000011000", -- 186
558
"00001000000000000000010000001000", -- 187
559
"00001000000000000000010000001010", -- 188
560
"00001000000000000000010000001010", -- 189
561
"00001000000000000000010000001010", -- 18a
562
"00001000000000000000010000001010", -- 18b
563
"00001000000000000000010000001010", -- 18c
564
"00001000000000000000010000001010", -- 18d
565
"00001000000000000000010000011010", -- 18e
566
"00001000000000000000010000001010", -- 18f
567
"00001000000000000000010000001100", -- 190
568
"00001000000000000000010000001100", -- 191
569
"00001000000000000000010000001100", -- 192
570
"00001000000000000000010000001100", -- 193
571
"00001000000000000000010000001100", -- 194
572
"00001000000000000000010000001100", -- 195
573
"00001000000000000000010000011100", -- 196
574
"00001000000000000000010000001100", -- 197
575
"00001000000000000000010000001110", -- 198
576
"00001000000000000000010000001110", -- 199
577
"00001000000000000000010000001110", -- 19a
578
"00001000000000000000010000001110", -- 19b
579
"00001000000000000000010000001110", -- 19c
580
"00001000000000000000010000001110", -- 19d
581
"00001000000000000000010000011110", -- 19e
582
"00001000000000000000010000001110", -- 19f
583
"00001000000000000000010000010000", -- 1a0
584
"00001000000000000000010000010000", -- 1a1
585
"00001000000000000000010000010000", -- 1a2
586
"00001000000000000000010000010000", -- 1a3
587
"00001000000000000000010000010000", -- 1a4
588
"00001000000000000000010000010000", -- 1a5
589
"00001000000000000000010000100000", -- 1a6
590
"00001000000000000000010000010000", -- 1a7
591
"00001000000000000000010000010010", -- 1a8
592
"00001000000000000000010000010010", -- 1a9
593
"00001000000000000000010000010010", -- 1aa
594
"00001000000000000000010000010010", -- 1ab
595
"00001000000000000000010000010010", -- 1ac
596
"00001000000000000000010000010010", -- 1ad
597
"00001000000000000000010000100010", -- 1ae
598
"00001000000000000000010000010010", -- 1af
599
"00001000000000000000010000010100", -- 1b0
600
"00001000000000000000010000010100", -- 1b1
601
"00001000000000000000010000010100", -- 1b2
602
"00001000000000000000010000010100", -- 1b3
603
"00001000000000000000010000010100", -- 1b4
604
"00001000000000000000010000010100", -- 1b5
605
"00001000000000000000010000100100", -- 1b6
606
"00001000000000000000010000010100", -- 1b7
607
"00001000000000000000010000010110", -- 1b8
608
"00001000000000000000010000010110", -- 1b9
609
"00001000000000000000010000010110", -- 1ba
610
"00001000000000000000010000010110", -- 1bb
611
"00001000000000000000010000010110", -- 1bc
612
"00001000000000000000010000010110", -- 1bd
613
"00001000000000000000010000100110", -- 1be
614
"00001000000000000000010000010110", -- 1bf
615
"00001000000000000000100000011011", -- 1c0
616
"00001000000000000000100000110000", -- 1c1
617
"00001000000000000000100000001010", -- 1c2
618
"00001000000000000000100000000100", -- 1c3
619
"00001000000000000000100000010101", -- 1c4
620
"00001000000000000000100000100110", -- 1c5
621
"00001000000000000000000000111000", -- 1c6
622
"00001000000000000000100000011100", -- 1c7
623
"00001000000000000000100000011011", -- 1c8
624
"00001000000000000000100000010111", -- 1c9
625
"00001000000000000000100000001010", -- 1ca
626
"00001000000000000000000000000000", -- 1cb
627
"00001000000000000000100000010101", -- 1cc
628
"00001000000000000000100000001100", -- 1cd
629
"00001000000000000000000000111010", -- 1ce
630
"00001000000000000000100000011100", -- 1cf
631
"00001000000000000000100000011011", -- 1d0
632
"00001000000000000000100000110000", -- 1d1
633
"00001000000000000000100000001010", -- 1d2
634
"00001000000000000000110000010001", -- 1d3
635
"00001000000000000000100000010101", -- 1d4
636
"00001000000000000000100000100110", -- 1d5
637
"00001000000000000000000000111100", -- 1d6
638
"00001000000000000000100000011100", -- 1d7
639
"00001000000000000000100000011011", -- 1d8
640
"00001000000000000000000000000000", -- 1d9
641
"00001000000000000000100000001010", -- 1da
642
"00001000000000000000110000001010", -- 1db
643
"00001000000000000000100000010101", -- 1dc
644
"00001000000000000000000000000000", -- 1dd
645
"00001000000000000000000000111110", -- 1de
646
"00001000000000000000100000011100", -- 1df
647
"00001000000000000000100000011011", -- 1e0
648
"00001000000000000000100000110000", -- 1e1
649
"00001000000000000000100000001010", -- 1e2
650
"00001000000000000000100000111000", -- 1e3
651
"00001000000000000000100000010101", -- 1e4
652
"00001000000000000000100000100110", -- 1e5
653
"00001000000000000000010000000000", -- 1e6
654
"00001000000000000000100000011100", -- 1e7
655
"00001000000000000000100000011011", -- 1e8
656
"00001000000000000000100000100010", -- 1e9
657
"00001000000000000000100000001010", -- 1ea
658
"00001000000000000000000000101100", -- 1eb
659
"00001000000000000000100000010101", -- 1ec
660
"00001000000000000000000000000000", -- 1ed
661
"00001000000000000000010000000010", -- 1ee
662
"00001000000000000000100000011100", -- 1ef
663
"00001000000000000000100000011011", -- 1f0
664
"00001000000000000000100000110100", -- 1f1
665
"00001000000000000000100000001010", -- 1f2
666
"00001000000000000000110000001001", -- 1f3
667
"00001000000000000000100000010101", -- 1f4
668
"00001000000000000000100000101011", -- 1f5
669
"00001000000000000000010000000100", -- 1f6
670
"00001000000000000000100000011100", -- 1f7
671
"00001000000000000000100000011011", -- 1f8
672
"00001000000000000000110000000100", -- 1f9
673
"00001000000000000000100000001010", -- 1fa
674
"00001000000000000000110000001000", -- 1fb
675
"00001000000000000000100000010101", -- 1fc
676
"00001000000000000000000000000000", -- 1fd
677
"00001000000000000000010000000110", -- 1fe
678
"00001000000000000000100000011100"  -- 1ff
679
 
680
);
681
 
682
-- end of microcode ROM
683
 
684
signal load_al :      std_logic; -- uinst field, load AL reg from rbank
685
signal load_addr :    std_logic; -- uinst field, enable external addr reg load
686
signal load_t1 :      std_logic; -- uinst field, load reg T1 
687
signal load_t2 :      std_logic; -- uinst field, load reg T2
688
signal mux_in :       std_logic; -- uinst field, T1/T2 input data selection
689
signal load_do :      std_logic; -- uinst field, pipelined, load DO reg
690
-- rb_addr_sel: uinst field, rbank address selection: (sss,ddd,pp,ra_field)
691
signal rb_addr_sel :  std_logic_vector(1 downto 0);
692
-- ra_field: uinst field, explicit reg bank address
693
signal ra_field :     std_logic_vector(3 downto 0);
694
signal rbank_data :   std_logic_vector(7 downto 0); -- rbank output
695
signal alu_output :   std_logic_vector(7 downto 0); -- ALU output
696
-- data_output: datapath output: ALU output vs. F reg 
697
signal data_output :  std_logic_vector(7 downto 0);
698
signal T1 :           std_logic_vector(7 downto 0); -- T1 reg (ALU operand)
699
signal T2 :           std_logic_vector(7 downto 0); -- T2 reg (ALU operand)
700
-- alu_input: data loaded into T1, T2: rbank data vs. DI
701
signal alu_input :    std_logic_vector(7 downto 0);
702
signal we_rb :        std_logic; -- uinst field, commands a write to the rbank
703
signal inhibit_pc_increment : std_logic; -- avoid PC changes (during INTA)
704
signal rbank_rd_addr: std_logic_vector(3 downto 0); -- rbank rd addr
705
signal rbank_wr_addr: std_logic_vector(3 downto 0); -- rbank wr addr
706
signal DO :           std_logic_vector(7 downto 0); -- data output reg
707
 
708
-- Register bank as an array of 16 bytes (asynch. LUT ram)
709
type t_reg_bank is array(0 to 15) of std_logic_vector(7 downto 0);
710
-- Register bank : BC, DE, HL, AF, [PC, XY, ZW, SP]
711
signal rbank :        t_reg_bank;
712
 
713
signal flag_reg :     std_logic_vector(7 downto 0); -- F register
714
-- flag_pattern: uinst field, F update pattern: which flags are updated
715
signal flag_pattern : std_logic_vector(1 downto 0);
716
signal flag_s :       std_logic; -- new computed S flag  
717
signal flag_z :       std_logic; -- new computed Z flag
718
signal flag_p :       std_logic; -- new computed P flag
719
signal flag_cy :      std_logic; -- new computed C flag
720
signal flag_cy_1 :    std_logic; -- C flag computed from arith/logic operation
721
signal flag_cy_2 :    std_logic; -- C flag computed from CPC circuit
722
signal do_cy_op :     std_logic; -- ALU explicit CY operation (CPC, etc.)
723
signal do_cy_op_d :   std_logic; -- do_cy_op, pipelined
724
signal do_cpc :       std_logic; -- ALU operation is CPC
725
signal do_cpc_d :     std_logic; -- do_cpc, pipelined
726
signal do_daa :       std_logic; -- ALU operation is DAA
727
signal flag_ac :      std_logic; -- new computed half carry flag
728
-- flag_aux_cy: new computed half carry flag (used in 16-bit ops)
729
signal flag_aux_cy :  std_logic;
730
signal load_psw :     std_logic; -- load F register
731
 
732
-- aux carry computation and control signals
733
signal use_aux :      std_logic; -- decoded from flags in 1st phase
734
signal use_aux_cy :   std_logic; -- 2nd phase signal
735
signal reg_aux_cy :   std_logic;
736
signal aux_cy_in :    std_logic;
737
signal set_aux_cy :   std_logic;
738
signal set_aux  :     std_logic;
739
 
740
-- ALU control signals -- together they select ALU operation
741
signal alu_fn :       std_logic_vector(1 downto 0);
742
signal use_logic :    std_logic; -- logic/arith mux control 
743
signal mux_fn :       std_logic_vector(1 downto 0);
744
signal use_psw :      std_logic; -- ALU/F mux control
745
 
746
-- ALU arithmetic operands and result
747
signal arith_op1 :    std_logic_vector(8 downto 0);
748
signal arith_op2 :    std_logic_vector(8 downto 0);
749
signal arith_op2_sgn: std_logic_vector(8 downto 0);
750
signal arith_res :    std_logic_vector(8 downto 0);
751
signal arith_res8 :   std_logic_vector(7 downto 0);
752
 
753
-- ALU DAA intermediate signals (DAA has fully dedicated logic)
754
signal daa_res :      std_logic_vector(8 downto 0);
755
signal daa_res8 :     std_logic_vector(7 downto 0);
756
signal daa_res9 :     std_logic_vector(8 downto 0);
757
signal daa_test1 :    std_logic;
758
signal daa_test1a :   std_logic;
759
signal daa_test2 :    std_logic;
760
signal daa_test2a :   std_logic;
761
signal arith_daa_res :std_logic_vector(7 downto 0);
762
signal cy_daa :       std_logic;
763
 
764
-- ALU CY flag intermediate signals
765
signal cy_in_sgn :    std_logic;
766
signal cy_in :        std_logic;
767
signal cy_in_gated :  std_logic;
768
signal cy_adder :     std_logic;
769
signal cy_arith :     std_logic;
770
signal cy_shifter :   std_logic;
771
 
772
-- ALU intermediate results
773
signal logic_res :    std_logic_vector(7 downto 0);
774
signal shift_res :    std_logic_vector(7 downto 0);
775
signal alu_mux1 :     std_logic_vector(7 downto 0);
776
 
777
begin
778
 
779
DI <= data_in;
780
 
781
process(clk)    -- IR register, load when uc_decode flag activates
782
begin
783
  if clk'event and clk='1' then
784
    if uc_decode = '1' then
785
      IR <= DI;
786
    end if;
787
  end if;
788
end process;
789
 
790
s_field <= IR(2 downto 0); -- IR field extraction : sss reg code
791
d_field <= IR(5 downto 3); -- ddd reg code
792
p_field <= IR(5 downto 4); -- pp 16-bit reg pair code   
793
 
794
 
795
--##############################################################################
796
-- Microcode sequencer
797
 
798
process(clk)    -- do_reset is reset delayed 1 cycle
799
begin
800
  if clk'event and clk='1' then
801
    do_reset <= reset;
802
  end if;
803
end process;
804
 
805
uc_flags1 <= ucode(31 downto 29);
806
uc_flags2 <= ucode(28 downto 26);
807
 
808
-- microcode address control flags are gated by do_reset (reset has priority)
809
uc_do_ret <= '1' when uc_flags2 = "011" and do_reset = '0' else '0';
810
uc_jsr    <= '1' when uc_flags2 = "010" and do_reset = '0' else '0';
811
uc_tjsr   <= '1' when uc_flags2 = "100" and do_reset = '0' else '0';
812
uc_decode <= '1' when uc_flags1 = "001" and do_reset = '0' else '0';
813
uc_end    <= '1' when (uc_flags2 = "001" or (uc_tjsr='1' and condition_reg='0'))
814
                  and do_reset = '0' else '0';
815
 
816
-- other microinstruction flags are decoded
817
uc_halt_flag  <= '1' when uc_flags1 = "111" else '0';
818
uc_halt   <= '1' when uc_halt_flag='1' and inta_reg='0' else '0';
819
uc_ei     <= '1' when uc_flags1 = "011" else '0';
820
uc_di     <= '1' when uc_flags1 = "010" or inta_reg='1' else '0';
821
-- clr_t1/2 clears T1/T2 when explicitly commanded; T2 and T1 clear implicitly 
822
-- at the end of each instruction (by uc_decode)
823
clr_t2    <= '1' when uc_flags2 = "001" else '0';
824
clr_t1    <= '1' when uc_flags1 = "110" else '0';
825
use_aux   <= '1' when uc_flags1 = "101" else '0';
826
set_aux   <= '1' when uc_flags2 = "111" else '0';
827
 
828
load_al <= ucode(24);
829
load_addr <= ucode(25);
830
 
831
do_cy_op_d <= '1' when ucode(5 downto 2)="1011" else '0'; -- decode CY ALU op
832
do_cpc_d <= ucode(0); -- decode CPC ALU op
833
 
834
-- uinst jump command, either unconditional or on a given condition
835
uc_do_jmp <= uc_jsr or (uc_tjsr and condition_reg);
836
 
837
vma <= load_addr;  -- addr is valid, either for memmory or io
838
 
839 19 ja_rd
-- assume the only uinst that does memory access in the range 0..f is 'fetch'
840
fetch <= '1' when uc_addr(7 downto 4)=X"0" and load_addr='1' else '0';
841
 
842 2 ja_rd
-- external bus interface control signals
843
io <= '1' when uc_flags1="100" else '0'; -- IO access (vs. memory)
844
rd <= '1' when uc_flags2="101" else '0'; -- RD access
845
wr <= '1' when uc_flags2="110" else '0'; -- WR access  
846
 
847
uc_jmp_addr <= ucode(11 downto 10) & ucode(5 downto 0);
848
 
849
uc_addr_sel <= uc_do_ret & uc_do_jmp & uc_decode & uc_end;
850
 
851
addr_plus_1 <= uc_addr + 1;
852
 
853
-- TODO simplify this!!
854
 
855
-- NOTE: when end='1' we jump either to the FETCH ucode ot to the HALT ucode
856
-- depending on the value of the halt signal.
857
-- We use the unregistered uc_halt instead of halt_reg because otherwise #end
858
-- should be on the cycle following #halt, wasting a cycle.
859
-- This means that the flag #halt has to be used with #end or will be ignored. 
860
 
861
with uc_addr_sel select
862
  next_uc_addr <= '0'&uc_ret_addr when "1000", -- ret
863
                  '0'&uc_jmp_addr when "0100", -- jsr/tjsr
864
                  '0'&addr_plus_1 when "0000", -- uaddr++
865
                  "000000"&uc_halt&"11"
866
                                  when "0001", -- end: go to fetch/halt uaddr
867
                  '1'&DI          when others; -- decode fetched address 
868
 
869
-- Note how we used DI (containing instruction opcode) as a microcode address
870
 
871
-- read microcode rom 
872
process (clk)
873
begin
874
  if clk'event and clk='1' then
875
    ucode <= rom(conv_integer(next_uc_addr));
876
  end if;
877
end process;
878
 
879
-- microcode address register
880
process (clk)
881
begin
882
  if clk'event and clk='1' then
883
    if reset = '1' then
884
      uc_addr <= X"00";
885
    else
886
      uc_addr <= next_uc_addr(7 downto 0);
887
    end if;
888
  end if;
889
end process;
890
 
891
-- ucode address 1-level 'return stack'
892
process (clk)
893
begin
894
  if clk'event and clk='1' then
895
    if reset = '1' then
896
      uc_ret_addr <= X"00";
897
    elsif uc_do_jmp='1' then
898
      uc_ret_addr <= addr_plus_1;
899
    end if;
900
  end if;
901
end process;
902
 
903
 
904
alu_op <= ucode(3 downto 0);
905
 
906
-- pipeline uinst field2 for 1-cycle delayed execution.
907
-- note the same rbank addr field is used in cycles 1 and 2; this enforces
908
-- some constraints on uinst programming but simplifies the system.
909
process(clk)
910
begin
911
  if clk'event and clk='1' then
912
    ucode_field2 <= do_cy_op_d & do_cpc_d & clr_t2 & clr_t1 &
913
                    set_aux & use_aux & rbank_rd_addr &
914
                    ucode(14 downto 4) & alu_op;
915
  end if;
916
end process;
917
 
918
--#### HALT logic
919
process(clk)
920
begin
921
  if clk'event and clk='1' then
922
    if reset = '1' or int_pending = '1' then --inta_reg
923
      halt_reg <= '0';
924
    else
925
      if uc_halt = '1' then
926
        halt_reg <= '1';
927
      end if;
928
    end if;
929
  end if;
930
end process;
931
 
932
halt <= halt_reg;
933
 
934
--#### INTE logic -- inte_reg = '1' means interrupts ENABLED
935
process(clk)
936
begin
937
  if clk'event and clk='1' then
938
    if reset = '1' then
939
      inte_reg <= '0';
940
    else
941
      if uc_di='1' or uc_ei='1' then
942
        inte_reg <= uc_ei;
943
      end if;
944
    end if;
945
  end if;
946
end process;
947
 
948
inte <= inte_reg;
949
 
950 39 ja_rd
-- interrupts are ignored when inte='0' but they are registered and will be
951
-- honored when interrupts are enabled
952 2 ja_rd
process(clk)
953
begin
954
  if clk'event and clk='1' then
955
    if reset = '1' then
956
      int_pending <= '0';
957
    else
958 39 ja_rd
      -- intr will raise int_pending only if inta has not been asserted. 
959
      -- Otherwise, if intr overlapped inta, we'd enter a microcode endless 
960
      -- loop, executing the interrupt vector again and again.
961
      if intr='1' and inte_reg='1' and int_pending='0' and inta_reg='0' then
962 2 ja_rd
        int_pending <= '1';
963
      else
964 39 ja_rd
        -- int_pending is cleared when we're about to service the interrupt, 
965
        -- that is when interrupts are enabled and the current instruction ends.
966 2 ja_rd
        if inte_reg = '1' and uc_end='1' then
967
          int_pending <= '0';
968
        end if;
969
      end if;
970
    end if;
971
  end if;
972
end process;
973
 
974
 
975
--#### INTA logic
976
-- INTA goes high from END to END, that is for the entire time the instruction
977
-- takes to fetch and execute; in the original 8080 it was asserted only for 
978
-- the M1 cycle.
979
-- All instructions can be used in an inta cycle, including XTHL which was
980
-- forbidden in the original 8080. 
981
-- It's up to you figuring out which cycle is which in multibyte instructions.
982
process(clk)
983
begin
984
  if clk'event and clk='1' then
985
    if reset = '1' then
986
      inta_reg <= '0';
987
    else
988
      if int_pending = '1' and uc_end='1' then
989
        -- enter INTA state
990
        inta_reg <= '1';
991
      else
992
        -- exit INTA state
993
        -- NOTE: don't reset inta when exiting halt state (uc_halt_flag='1').
994
        -- If we omit this condition, when intr happens on halt state, inta
995
        -- will only last for 1 cycle, because in halt state uc_end is 
996
        -- always asserted.
997
        if uc_end = '1' and uc_halt_flag='0' then
998
          inta_reg <= '0';
999
        end if;
1000
      end if;
1001
    end if;
1002
  end if;
1003
end process;
1004
 
1005
inta <= inta_reg;
1006
 
1007
 
1008
--##############################################################################
1009
-- Datapath
1010
 
1011
-- extract pipelined microcode fields
1012
ra_field <= ucode(18 downto 15);
1013
load_t1 <= ucode(23);
1014
load_t2 <= ucode(22);
1015
mux_in <= ucode(21);
1016
rb_addr_sel <= ucode(20 downto 19);
1017
load_do <= ucode_field2(7);
1018
set_aux_cy <= ucode_field2(20);
1019
do_clr_t1 <= ucode_field2(21);
1020
do_clr_t2 <= ucode_field2(22);
1021
 
1022
 
1023
-- T1 register 
1024
process (clk)
1025
begin
1026
  if clk'event and clk='1' then
1027
    if reset = '1' or uc_decode = '1' or do_clr_t1='1' then
1028
      T1 <= X"00";
1029
    else
1030
      if load_t1 = '1' then
1031
        T1 <= alu_input;
1032
      end if;
1033
    end if;
1034
  end if;
1035
end process;
1036
 
1037
-- T2 register
1038
process (clk)
1039
begin
1040
  if clk'event and clk='1' then
1041
    if reset = '1' or uc_decode = '1' or do_clr_t2='1' then
1042
      T2 <= X"00";
1043
    else
1044
      if load_t2 = '1' then
1045
        T2 <= alu_input;
1046
      end if;
1047
    end if;
1048
  end if;
1049
end process;
1050
 
1051
-- T1/T2 input data mux
1052
alu_input <= rbank_data when mux_in = '1' else DI;
1053
 
1054
-- register bank address mux logic
1055
 
1056
rbh <= '1' when p_field = "11" else '0';
1057
 
1058
with rb_addr_sel select
1059
  rbank_rd_addr <=  ra_field    when "00",
1060
                    "0"&s_field when "01",
1061
                    "0"&d_field when "10",
1062
                    rbh&p_field&ra_field(0) when others;
1063
 
1064
-- RBank writes are inhibited in INTA state, but only for PC increments.
1065
inhibit_pc_increment <= '1' when inta_reg='1' and use_aux_cy='1'
1066
                                 and rbank_wr_addr(3 downto 1) = "100"
1067
                                 else '0';
1068
we_rb <= ucode_field2(6) and not inhibit_pc_increment;
1069
 
1070
-- Register bank logic
1071
-- NOTE: read is asynchronous, while write is synchronous; but note also
1072
-- that write phase for a given uinst happens the cycle after the read phase.
1073
-- This way we give the ALU time to do its job.
1074
rbank_wr_addr <= ucode_field2(18 downto 15);
1075
process(clk)
1076
begin
1077
  if clk'event and clk='1' then
1078
    if we_rb = '1' then
1079
      rbank(conv_integer(rbank_wr_addr)) <= alu_output;
1080
    end if;
1081
  end if;
1082
end process;
1083
rbank_data <= rbank(conv_integer(rbank_rd_addr));
1084
 
1085
-- should we read F register or ALU output?
1086
use_psw <= '1' when ucode_field2(5 downto 4)="11" else '0';
1087
data_output <= flag_reg when use_psw = '1' else alu_output;
1088
 
1089
 
1090
process (clk)
1091
begin
1092
  if clk'event and clk='1' then
1093
    if load_do = '1' then
1094
        DO <= data_output;
1095
    end if;
1096
  end if;
1097
end process;
1098
 
1099
--##############################################################################
1100
-- ALU 
1101
 
1102
alu_fn <= ucode_field2(1 downto 0);
1103
use_logic <= ucode_field2(2);
1104
mux_fn <= ucode_field2(4 downto 3);
1105
--#### make sure this is "00" in the microcode when no F updates should happen!
1106
flag_pattern <=  ucode_field2(9 downto 8);
1107
use_aux_cy <= ucode_field2(19);
1108
do_cpc <= ucode_field2(23);
1109
do_cy_op <= ucode_field2(24);
1110
do_daa <= '1' when ucode_field2(5 downto 2) = "1010" else '0';
1111
 
1112
aux_cy_in <= reg_aux_cy when set_aux_cy = '0' else '1';
1113
 
1114
-- carry input selection: normal or aux (for 16 bit increments)?
1115
cy_in <= flag_reg(0) when use_aux_cy = '0' else aux_cy_in;
1116
 
1117
-- carry is not used (0) in add/sub operations
1118
cy_in_gated <= cy_in and alu_fn(0);
1119
 
1120
--##### Adder/substractor
1121
 
1122
-- zero extend adder operands to 9 bits to ease CY output synthesis
1123
-- use zero extension because we're only interested in cy from 7 to 8
1124
arith_op1 <= '0' & T2;
1125
arith_op2 <= '0' & T1;
1126
 
1127
-- The adder/substractor is done in 2 stages to help XSL synth it properly
1128
-- Other codings result in 1 adder + a substractor + 1 mux
1129
 
1130
-- do 2nd op 2's complement if substracting...
1131
arith_op2_sgn <=  arith_op2 when alu_fn(1) = '0' else not arith_op2;
1132
-- ...and complement cy input too
1133
cy_in_sgn <= cy_in_gated when alu_fn(1) = '0' else not cy_in_gated;
1134
 
1135
-- once 2nd operand has been negated (or not) add operands normally
1136
arith_res <= arith_op1 + arith_op2_sgn + cy_in_sgn;
1137
 
1138
-- take only 8 bits; 9th bit of adder is cy output
1139
arith_res8 <= arith_res(7 downto 0);
1140
cy_adder <= arith_res(8);
1141
 
1142
--##### DAA dedicated logic
1143
-- Note a DAA takes 2 cycles to complete! 
1144
 
1145
--daa_test1a='1' when daa_res9(7 downto 4) > 0x06
1146
daa_test1a <= arith_op2(3) and (arith_op2(2) or arith_op2(1) or arith_op2(0));
1147
daa_test1 <= '1' when flag_reg(4)='1' or daa_test1a='1' else '0';
1148
 
1149
process(clk)
1150
begin
1151
  if clk'event and clk='1' then
1152
    if reset='1' then
1153
      daa_res9 <= "000000000";
1154
    else
1155
      if daa_test1='1' then
1156
        daa_res9 <= arith_op2 + "000000110";
1157
      else
1158
        daa_res9 <= arith_op2;
1159
      end if;
1160
    end if;
1161
  end if;
1162
end process;
1163
 
1164
--daa_test2a='1' when daa_res9(7 downto 4) > 0x06 FIXME unused?
1165
daa_test2a <= daa_res9(7) and (daa_res9(6) or daa_res9(5) or daa_res9(4));
1166
daa_test2 <= '1' when flag_reg(0)='1' or daa_test1a='1' else '0';
1167
 
1168
daa_res <= '0'&daa_res9(7 downto 0) + "01100000" when daa_test2='1'
1169
           else daa_res9;
1170
 
1171
cy_daa <= daa_res(8);
1172
 
1173
-- DAA vs. adder mux
1174
arith_daa_res <= daa_res(7 downto 0) when do_daa='1' else arith_res8;
1175
 
1176
-- DAA vs. adder CY mux
1177
cy_arith <= cy_daa when do_daa='1' else cy_adder;
1178
 
1179
--##### Logic operations block
1180
logic_res <=  T1 and T2 when alu_fn = "00" else
1181
              T1 xor T2 when alu_fn = "01" else
1182
              T1 or  T2 when alu_fn = "10" else
1183
              not T1;
1184
 
1185
--##### Shifter
1186
shifter:
1187
for i in 1 to 6 generate
1188
begin
1189
  shift_res(i) <= T1(i-1) when alu_fn(0) = '0' else T1(i+1);
1190
end generate;
1191
shift_res(0) <= T1(7) when alu_fn = "00" else -- rot left 
1192
                cy_in when alu_fn = "10" else -- rot left through carry
1193
                T1(1); -- rot right
1194
shift_res(7) <= T1(0) when alu_fn = "01" else -- rot right
1195
                cy_in when alu_fn = "11" else -- rot right through carry
1196
                T1(6); -- rot left
1197
 
1198
cy_shifter   <= T1(7) when alu_fn(0) = '0' else -- left
1199
                T1(0);                          -- right
1200
 
1201
alu_mux1 <= logic_res when use_logic = '1' else shift_res;
1202
 
1203
 
1204
with mux_fn select
1205
  alu_output <= alu_mux1      when "00",
1206
                arith_daa_res when "01",
1207
                not alu_mux1  when "10",
1208
                "00"&d_field&"000" when others; -- RST  
1209
 
1210
--###### flag computation 
1211
 
1212
flag_s <= alu_output(7);
1213
flag_p <= not(alu_output(7) xor alu_output(6) xor alu_output(5) xor alu_output(4) xor
1214
         alu_output(3) xor alu_output(2) xor alu_output(1) xor alu_output(0));
1215
flag_z <= '1' when alu_output=X"00" else '0';
1216
flag_ac <= (arith_op1(4) xor arith_op2_sgn(4) xor alu_output(4));
1217
 
1218
flag_cy_1 <= cy_arith when use_logic = '1' else cy_shifter;
1219
flag_cy_2 <= not flag_reg(0) when do_cpc='0' else '1'; -- cmc, stc
1220
flag_cy <= flag_cy_1 when do_cy_op='0' else flag_cy_2;
1221
 
1222
flag_aux_cy <= cy_adder;
1223
 
1224
-- auxiliary carry reg
1225
process(clk)
1226
begin
1227
  if clk'event and clk='1' then
1228
    if reset='1' or uc_decode = '1' then
1229
      reg_aux_cy <= '1'; -- inits to 0 every instruction
1230
    else
1231
      reg_aux_cy <= flag_aux_cy;
1232
    end if;
1233
  end if;
1234
end process;
1235
 
1236
-- load PSW from ALU (i.e. POP AF) or from flag signals
1237
load_psw <= '1' when we_rb='1' and rbank_wr_addr="0110" else '0';
1238
 
1239
-- The F register has been split in two separate groupt that always update
1240
-- together (C and all others).
1241
 
1242
-- F register, flags S,Z,AC,P
1243
process(clk)
1244
begin
1245
  if clk'event and clk='1' then
1246
    if reset='1' then
1247
      flag_reg(7) <= '0';
1248
      flag_reg(6) <= '0';
1249
      flag_reg(4) <= '0';
1250
      flag_reg(2) <= '0';
1251
    elsif flag_pattern(1) = '1' then
1252
      if load_psw = '1' then
1253
        flag_reg(7) <= alu_output(7);
1254
        flag_reg(6) <= alu_output(6);
1255
        flag_reg(4) <= alu_output(4);
1256
        flag_reg(2) <= alu_output(2);
1257
      else
1258
        flag_reg(7) <= flag_s;
1259
        flag_reg(6) <= flag_z;
1260
        flag_reg(4) <= flag_ac;
1261
        flag_reg(2) <= flag_p;
1262
      end if;
1263
    end if;
1264
  end if;
1265
end procesS;
1266
 
1267
-- F register, flag C
1268
process(clk)
1269
begin
1270
  if clk'event and clk='1' then
1271
    if reset = '1' then
1272
      flag_reg(0) <= '0';
1273
    elsif flag_pattern(0) = '1' then
1274
      if load_psw = '1' then
1275
        flag_reg(0) <= alu_output(0);
1276
      else
1277
        flag_reg(0) <= flag_cy;
1278
      end if;
1279
    end if;
1280
  end if;
1281
end procesS;
1282
 
1283
flag_reg(5) <= '0'; -- constant flag
1284
flag_reg(3) <= '0'; -- constant flag
1285
flag_reg(1) <= '1'; -- constant flag
1286
 
1287
--##### Condition computation
1288
 
1289
condition_sel <= d_field(2 downto 0);
1290
with condition_sel select
1291
  condition <=
1292
            not flag_reg(6) when "000", -- NZ
1293
                flag_reg(6) when "001", -- Z
1294
            not flag_reg(0) when "010", -- NC
1295
                flag_reg(0) when "011", -- C
1296
            not flag_reg(2) when "100", -- PO
1297
                flag_reg(2) when "101", -- PE  
1298
            not flag_reg(7) when "110", -- P  
1299
                flag_reg(7) when others;-- M                  
1300
 
1301
 
1302
-- condition is registered to shorten the delay path; the extra 1-cycle
1303
-- delay is not relevant because conditions are tested in the next instruction
1304
-- at the earliest, and there's at least the fetch uinsts intervening.                
1305
process(clk)
1306
begin
1307
  if clk'event and clk='1' then
1308
    if reset = '1' then
1309
      condition_reg <= '0';
1310
    else
1311
      condition_reg <= condition;
1312
    end if;
1313
  end if;
1314
end process;
1315
 
1316
-- low byte address register
1317
process(clk)
1318
begin
1319
  if clk'event and clk='1' then
1320
    if reset = '1' then
1321
      addr_low <= X"00";
1322
    elsif load_al = '1' then
1323
      addr_low <= rbank_data;
1324
    end if;
1325
  end if;
1326
end process;
1327
 
1328
-- note external address registers (high byte) are loaded directly from rbank
1329
addr_out <= rbank_data & addr_low;
1330
 
1331
data_out <= DO;
1332
 
1333
end microcoded;
1334 19 ja_rd
 
1335
--------------------------------------------------------------------------------
1336
-- Timing diagram 1: RD and WR cycles
1337
--------------------------------------------------------------------------------
1338
--            1     2     3     4     5     6     7     8     
1339
--             __    __    __    __    __    __    __    __   
1340
-- clk      __/  \__/  \__/  \__/  \__/  \__/  \__/  \__/  \__
1341
--
1342 39 ja_rd
--          ==|=====|=====|=====|=====|=====|=====|=====|=====|
1343
--
1344 19 ja_rd
-- addr_o   xxxxxxxxxxxxxx< ADR >xxxxxxxxxxx< ADR >xxxxxxxxxxx
1345
--
1346
-- data_i   xxxxxxxxxxxxxxxxxxxx< Din >xxxxxxxxxxxxxxxxxxxxxxx
1347
--
1348
-- data_o   xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx< Dout>xxxxxxxxxxx
1349
--                         _____             _____
1350
-- vma_o    ______________/     \___________/     \___________
1351
--                         _____
1352
-- rd_o     ______________/     \_____________________________
1353
--                                           _____
1354
-- wr_o     ________________________________/     \___________
1355
--
1356
-- (functional diagram, actual time delays not shown)
1357
--------------------------------------------------------------------------------
1358
-- This diagram shows a read cycle and a write cycle back to back.
1359
-- In clock edges (4) and (7), the address is loaded into the external 
1360
-- synchronous RAM address register. 
1361
-- In clock edge (5), read data is loaded into the CPU.
1362
-- In clock edge (7), write data is loaded into the external synchronous RAM.
1363
-- In actual operation, the CPU does about 1 rd/wr cycle for each 5 clock 
1364
-- cycles, which is a waste of RAM bandwidth.
1365
--

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.