OpenCores
URL https://opencores.org/ocsvn/loadbalancer/loadbalancer/trunk

Subversion Repositories loadbalancer

[/] [loadbalancer/] [trunk/] [LB.map.summary] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 atalla
Analysis & Synthesis Status : Successful - Sun Jan 10 21:11:41 2010
2
Quartus II Version : 7.2 Build 207 03/18/2008 SP 3 SJ Full Version
3
Revision Name : LB
4
Top-level Entity Name : LB
5
Family : Stratix II
6
Logic utilization : N/A
7
    Combinational ALUTs : 523
8
    Dedicated logic registers : 870
9
Total registers : 870
10
Total pins : 145
11
Total virtual pins : 0
12
Total block memory bits : 154,560
13
DSP block 9-bit elements : 0
14
Total PLLs : 0
15
Total DLLs : 0

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.