OpenCores
URL https://opencores.org/ocsvn/loadbalancer/loadbalancer/trunk

Subversion Repositories loadbalancer

[/] [loadbalancer/] [trunk/] [LB.qsf] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 atalla
# Copyright (C) 1991-2007 Altera Corporation
2
# Your use of Altera Corporation's design tools, logic functions
3
# and other software and tools, and its AMPP partner logic
4
# functions, and any output files from any of the foregoing
5
# (including device programming or simulation files), and any
6
# associated documentation or information are expressly subject
7
# to the terms and conditions of the Altera Program License
8
# Subscription Agreement, Altera MegaCore Function License
9
# Agreement, or other applicable license agreement, including,
10
# without limitation, that your use is for the sole purpose of
11
# programming logic devices manufactured by Altera and sold by
12
# Altera or its authorized distributors.  Please refer to the
13
# applicable agreement for further details.
14
 
15
 
16
# The default values for assignments are stored in the file
17
#               LB_assignment_defaults.qdf
18
# If this file doesn't exist, and for assignments not listed, see file
19
#               assignment_defaults.qdf
20
 
21
# Altera recommends that you do not modify this file. This
22
# file is updated automatically by the Quartus II software
23
# and any changes you make may be lost or overwritten.
24
 
25
 
26
set_global_assignment -name FAMILY "Stratix II"
27
set_global_assignment -name DEVICE AUTO
28
set_global_assignment -name TOP_LEVEL_ENTITY LB
29
set_global_assignment -name ORIGINAL_QUARTUS_VERSION "7.2 SP3"
30
set_global_assignment -name PROJECT_CREATION_TIME_DATE "15:31:53  DECEMBER 26, 2009"
31
set_global_assignment -name LAST_QUARTUS_VERSION "7.2 SP3"
32
set_global_assignment -name USE_GENERATED_PHYSICAL_CONSTRAINTS OFF -section_id eda_palace
33
set_global_assignment -name DEVICE_FILTER_SPEED_GRADE FASTEST
34
set_instance_assignment -name PARTITION_HIERARCHY no_file_for_top_partition -to | -section_id Top
35
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
36
set_global_assignment -name PARTITION_COLOR 2147039 -section_id Top
37
set_global_assignment -name LL_ROOT_REGION ON -section_id "Root Region"
38
set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region"
39
set_global_assignment -name SIMULATION_MODE TIMING
40
set_global_assignment -name ADD_DEFAULT_PINS_TO_SIMULATION_OUTPUT_WAVEFORMS OFF
41
set_global_assignment -name FMAX_REQUIREMENT "125 MHz"
42
set_global_assignment -name VERILOG_FILE ethernet_parser.v
43
set_global_assignment -name VERILOG_FILE ethernet_parser_32bit.v
44
set_global_assignment -name VERILOG_FILE ethernet_parser_64bit.v
45
set_global_assignment -name VECTOR_WAVEFORM_FILE LB.vwf
46
set_global_assignment -name VERILOG_FILE classifier_arbiter/classifier_arbiter.v
47
set_global_assignment -name VHDL_FILE PASS/pass.vhd
48
set_global_assignment -name VERILOG_FILE small_fifo.v
49
set_global_assignment -name VHDL_FILE TABLE/Aging_Timer.vhd
50
set_global_assignment -name VHDL_FILE TABLE/div_binary.vhd
51
set_global_assignment -name VHDL_FILE TABLE/mac_ram_table.vhd
52
set_global_assignment -name VHDL_FILE TABLE/manager.vhd
53
set_global_assignment -name VHDL_FILE TABLE/ram_256x48.vhd
54
set_global_assignment -name VHDL_FILE TABLE/table.vhd
55
set_global_assignment -name VHDL_FILE TABLE/valid_address.vhd
56
set_global_assignment -name VHDL_FILE Router/router.vhd
57
set_global_assignment -name VHDL_FILE int2ext/int2ext.vhd
58
set_global_assignment -name VHDL_FILE int2ext/int2ext_top.vhd
59
set_global_assignment -name VHDL_FILE int2ext/vlan2ext.vhd
60
set_global_assignment -name VHDL_FILE Balance/balance.vhd
61
set_global_assignment -name VHDL_FILE Balance/balance_top.vhd
62
set_global_assignment -name VHDL_FILE Balance/hash.vhd
63
set_global_assignment -name VHDL_FILE Balance/n_mac.vhd
64
set_global_assignment -name VHDL_FILE ARP/arp_parser.vhd
65
set_global_assignment -name VHDL_FILE ARP/arp_response.vhd
66
set_global_assignment -name VHDL_FILE ARP/arp_top.vhd
67
set_global_assignment -name VHDL_FILE Classfier/classifier.vhd
68
set_global_assignment -name VHDL_FILE Classfier/open_header.vhd
69
set_global_assignment -name VHDL_FILE balancer_top.vhd
70
set_global_assignment -name VHDL_FILE config.vhd
71
set_global_assignment -name BDF_FILE LB.bdf
72
set_global_assignment -name VERILOG_FILE output_port_lookup.v
73
set_global_assignment -name INCREMENTAL_VECTOR_INPUT_SOURCE TABLE/Manger_top.vwf

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.